ASML Holding N.V.

Netherlands

Back to Profile

1-100 of 7,965 for ASML Holding N.V. and 7 subsidiaries Sort by
Query
Aggregations
IP Type
        Patent 7,812
        Trademark 153
Jurisdiction
        United States 4,337
        World 3,521
        Canada 63
        Europe 44
Owner / Subsidiary
ASML Netherlands B.V. 7,239
[Owner] ASML Holding N.V. 513
Cymer, LLC 361
Cymer, Inc. 86
Brion Technologies, Inc. 9
See more
Date
New (last 4 weeks) 61
2025 March (MTD) 51
2025 February 50
2025 January 81
2024 December 55
See more
IPC Class
G03F 7/20 - ExposureApparatus therefor 4,185
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor 961
G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically 838
H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma 535
G03B 27/42 - Projection printing apparatus, e.g. enlarger, copying camera for automatic sequential copying of the same original 365
See more
NICE Class
09 - Scientific and electric apparatus and instruments 131
42 - Scientific, technological and industrial services, research and design 67
07 - Machines and machine tools 60
37 - Construction and mining; installation and repair services 32
40 - Treatment of materials; recycling, air and water treatment, 18
See more
Status
Pending 787
Registered / In Force 7,178
  1     2     3     ...     80        Next Page

1.

HMI eP5

      
Application Number 1847010
Status Registered
Filing Date 2025-02-11
Registration Date 2025-02-11
Owner ASML Netherlands B.V. (Netherlands)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Computer software for use in processing semiconductor wafers; computer software, recorded; semi-conductor memories; detectors; precision measuring apparatus; electronic measuring apparatus; tool measuring instruments; semiconductor testing apparatus; probes for testing semiconductors; semiconductor testing machines; measuring devices, electric; vacuum tube characteristic testers; circuit testers; lasers for measuring purposes; electron capture detectors; semiconductors; electronic semi-conductors; semiconductor wafers; semiconductor chips; photo-semiconductors; optical sensors; optical fibres [light conducting filaments]; semi-conductor devices; electron-beam tube; waveguides for high-energy electron beam delivery; waveguides for high power beam delivery; measuring sensors.

2.

METHOD AND SYSTEM OF DEFECT DETECTION FOR INSPECTION SAMPLE BASED ON MACHINE LEARNING MODEL

      
Application Number 18716123
Status Pending
Filing Date 2022-11-18
First Publication Date 2025-03-27
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Pu, Lingling
  • Zuo, Hongquan

Abstract

Systems and methods for training a machine learning model for defect detection include obtaining training data including an inspection image of a fabricated integrated circuit (IC) and design layout data of the IC, and training a machine learning model using the training data. The machine learning model includes a first autoencoder and a second autoencoder. The first autoencoder includes a first encoder and a first decoder. The second autoencoder includes a second encoder and a second decoder. The second decoder is configured to obtain a first code outputted by the first encoder. The first decoder is configured to obtain a second code outputted by the second encoder.

IPC Classes  ?

3.

A FRAMEWORK FOR CONDITION TUNING AND IMAGE PROCESSING FOR METROLOGY APPLICATIONS

      
Application Number 18716117
Status Pending
Filing Date 2022-11-18
First Publication Date 2025-03-27
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Pu, Lingling
  • Du, Zijian

Abstract

A method for processing images for metrology using a charged particle beam tool may include obtaining, from the charged particle beam tool, an image of a portion of a sample. The method may further include processing the image using a first image processing module to generate a processed image. The method may further include determining image quality characteristics of the processed image and determining whether the image quality characteristics of the processed image satisfy predetermined imaging criteria. The method may further include in response to the image quality characteristics of the processed image not satisfying the imaging criteria, updating a tuning condition of the charged-particle beam tool, acquiring an image of the portion of the sample using the charged-particle beam tool that has the updated tuning condition, and processing the acquired image using the first image processing module to enable the processed acquired image to satisfy the predetermined imaging criteria.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

4.

TRAINING A MODEL TO GENERATE PREDICTIVE DATA

      
Application Number 18971818
Status Pending
Filing Date 2024-12-06
First Publication Date 2025-03-27
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Pisarenco, Maxim
  • Batistakis, Chrysostomos

Abstract

A method of training a generator model comprising: using the generator model to generate the predictive data based on the first measured data, wherein the first measured data and the predictive data can be used to form images of the sample; pairing subsets of the first measured data with subsets of the predictive data, the subsets corresponding to locations within the images of the sample that can be formed from the first measured data and the predictive data; using a discriminator to evaluate a likelihood that the predictive data comes from a same data distribution as second measured data measured from a sample after an etching process; and training the generator model based on: correlation for the pairs corresponding to a same location relative to correlation for pairs corresponding to different locations, the correlation being the correlation between the paired subsets of data, and the likelihood evaluated by the discriminator.

IPC Classes  ?

5.

SUBSTRATE COUPLING SYSTEMS

      
Application Number EP2024073055
Publication Number 2025/061386
Status In Force
Filing Date 2024-08-16
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Hsu, Chia-Hao

Abstract

Current substrate (e.g., semiconductor wafer) coupling (e.g., bonding) process control methodology uses measured substrate flatness and shape to control the coupling process. Current equipment configurations and coupling processes introduce high substrate (e.g., semiconductor wafer edge) distortion that causes pronounced non-correctable (e.g., overlay and/or other) errors, and significant substrate-to-substrate distortion variation because the current coupling processes are highly substrate material property dependent. The measured flatness and shape do not provide sufficient indications of these substrate material properties. Advantageously, in the described systems and methods, key substrate material properties, such as elastic modulus (E), geometrical properties (I), and deformation moments (M), are determined for a specific substrate just prior to coupling based on directly measured substrate deformation, then provided as feedback for real-time adjustments to the coupling process for that substrate.

IPC Classes  ?

  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
  • H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment

6.

PROJECTION SYSTEM CHARACTERISATION SYSTEM AND METHOD

      
Application Number EP2024073287
Publication Number 2025/061398
Status In Force
Filing Date 2024-08-20
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Terlinden, Nick, Martijn
  • Koller, Paulus, Hubertus, Petrus

Abstract

Disclosed herein is a computing system configured to perform a method for determining aberrations of an optical system in response to patterned light, the method comprising: determining a combination of test features that substantially corresponds to an arrangement of product features on a patterning device arranged to pattern light before the light passes through the optical system; and determining aberrations caused by the response of the optical system to the patterned light in dependence on the known response of each test feature in the determined combination.

IPC Classes  ?

7.

RADIATION SYSTEM

      
Application Number EP2024074269
Publication Number 2025/061442
Status In Force
Filing Date 2024-08-30
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Smid, Pieter, Henry

Abstract

A radiation system comprising a deformable minor having a reflective surface arranged to receive and reflect a laser beam to form a reflected laser beam, a beam splitter arranged to separate the reflected laser beam into a first portion and a second portion, a sensor, a focusing system configured to form an image of the reflective surface at the sensor using the first portion of the reflected laser beam, the sensor being configured to output beam data representative of the reflected laser beam, means for generating radiation using the second portion of the reflected laser beam, and a controller operable to cause the deformable mirror to deform the reflective surface based on the beam data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

8.

OPTICAL VORTEX BASED METROLOGY SYSTEMS AND METHODS

      
Application Number EP2024073135
Publication Number 2025/061389
Status In Force
Filing Date 2024-08-16
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Syed, Rafeeq
  • Rezvani Naraghi, Roxana
  • Jahani, Saman
  • Galabada Dewage, Ashan Ariyawansa

Abstract

Optical vortex based metrology systems and methods are described. A radiation source is configured to irradiate a metrology target in one or more layers of a patterned substrate with radiation. The metrology target is configured to diffract and impart orbital angular momentum to the radiation from the radiation source. A radiation sensor is configured to generate a metrology signal based on the orbital angular momentum of the diffracted radiation received from the metrology target. The metrology signal comprises alignment position information and/or overlay information for the one or more layers. Advantageously, orbital angular of momentum of diffracted radiation can carry additional information useful to generate more accurate measurement compared to existing metrology systems, where only phase or intensity information is used.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

9.

SYSTEMS AND METHODS FOR MOTION CONTROL OF A PATTERNING DEVICE IN A LITHOGRAPHY APPARATUS

      
Application Number EP2024075784
Publication Number 2025/061615
Status In Force
Filing Date 2024-09-16
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kimman, Maarten, Hartger
  • Vermeulen, Marcus, Martinus, Petrus, Adrianus
  • Van Gils, Petrus, Franciscus
  • Kamminga, Jelmer, Mattheüs

Abstract

A reticle is typically clamped to a chuck in a lithography apparatus by clamps. The force provided by the clamps may limit how fast the reticle can accelerate (e.g., if the reticle accelerates too quickly, momentum of the reticle may break the clamping force and the reticle may slip on the chuck). A new motion control system is described, which applies a pushing force to the reticle during acceleration, to keep the reticle in its intended position during movement. The system comprises a first portion coupled to a short stroke stage of the lithography apparatus and a second portion coupled to a long stroke stage. The first portion is configured to push on the reticle so that it stays in its intended position. The second portion is configured to interact with the first portion to cause the first portion to support the reticle.

IPC Classes  ?

10.

OPTICAL ELEMENT FOR USE IN EUV LITHOGRAPHY

      
Application Number EP2024073766
Publication Number 2025/061415
Status In Force
Filing Date 2024-08-23
Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nikipelov, Andrey
  • Van De Kerkhof, Marcus, Adrianus
  • Cloin, Christian, Gerardus, Norbertus, Hendricus, Marie
  • Van Mil, Joost, Johannes, Lambertus
  • Van Kampen, Maarten
  • Cats, Selwyn, Yannick, Frithjof

Abstract

An optical element for an EUV lithographic apparatus, the optical element comprising: an optical surface (151) configured to receive radiation; a support member (152) that is electrically conductive; and a spacer (155) that is electrically insulating and configured to electrically isolate the optical surface, wherein a capacitance between surfaces separated by the spacer is less than 1 pF.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G02B 7/18 - Mountings, adjusting means, or light-tight connections, for optical elements for prismsMountings, adjusting means, or light-tight connections, for optical elements for mirrors

11.

ASSEMBLY FOR A LITHOGRAPHIC APPARATUS

      
Application Number 18727598
Status Pending
Filing Date 2023-01-26
First Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van De Goor, Tim Willem Johan
  • Galutschek, Ernst
  • Yakunin, Andrei Mikhailovich
  • Jansen, Paul
  • Wolf, Abraham Jan
  • Vermeulen, Paul Alexander
  • Houweling, Zomer Silvester
  • Heijmans, Lucas Christiaan Johan
  • Nikipelov, Andrey

Abstract

An assembly for a lithographic apparatus, wherein the assembly is configured to heat a pellicle membrane by one of or a combination selected from: i) provision of heated gas, ii) radiative heating, iii) resistive heating, and/or iv) inductive heating, and/or by illuminating the pellicle membrane with light having a wavelength of from around 91 nm to around 590 nm. Also a method of extending the operative lifespan of a pellicle membrane, the method including heating at least a portion of a pellicle membrane when illuminated by EUV by one of or a combination selected from: i) providing heated gas, ii) radiative heating, iii) resistive heating, and/or iv) inductive heating to effect heating of the at least one portion of the pellicle membrane, and/or by illuminating the pellicle membrane with light having a wavelength of from around 91 nm to around 590 nm.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support framePreparation thereof
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

12.

METHOD FOR CONTROLLING A PRODUCTION SYSTEM AND METHOD FOR THERMALLY CONTROLLING AT LEAST PART OF AN ENVIRONMENT

      
Application Number 18723265
Status Pending
Filing Date 2022-12-15
First Publication Date 2025-03-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Jin, Wenjie

Abstract

A method of generating control actions for controlling a production system, such as by transmitting the control actions to a control system of the production system. The method includes receiving, by a memory unit, a set of observation data characterizing a current state of the production system; processing, by a first neural network module of the memory unit, an input based on at least part of the observation data to generate encoded observation data; updating, by a second neural network module of the memory unit, history information stored in an internal memory of the second module using an input based on at least part of the observation data; obtaining, based on the encoded observation data and the updated history information, state data; and generating, based on the state data, one or more control actions.

IPC Classes  ?

  • G06N 3/045 - Combinations of networks
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G06N 3/044 - Recurrent networks, e.g. Hopfield networks
  • G06N 3/0464 - Convolutional networks [CNN, ConvNet]
  • G06N 3/0499 - Feedforward networks
  • G06N 3/092 - Reinforcement learning

13.

IMAGE ENHANCEMENT IN CHARGED PARTICLE INSPECTION

      
Application Number 18557584
Status Pending
Filing Date 2022-04-28
First Publication Date 2025-03-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Lei, Hairong
  • Fang, Wei

Abstract

An improved systems and methods for generating a denoised inspection image are disclosed. An improved method for generating a denoised inspection image comprises acquiring an inspection image; generating a first denoised image by executing a first type denoising algorithm on the inspection image; and generating a second denoised image by executing a second type denoising algorithm on the first denoised image.

IPC Classes  ?

14.

AN OPTICAL FILTER, A HETERODYNE INTERFEROMETER SYSTEM COMPRISING THE FILTER, AND A METHOD FOR FILTERING AN INPUT BEAM FOR A HETERODYNE INTERFEROMETER

      
Application Number EP2024071798
Publication Number 2025/056241
Status In Force
Filing Date 2024-08-01
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Kwee, Patrick

Abstract

A heterodyne interferometer system comprises: a first light source providing a first laser beam having a first frequency f1; a second light source providing a second laser beam having a second frequency f2 which differs from the first frequency; a combiner for polarizing the first and second laser beams and for providing an input beam wherein the polarized first and second laser beams are combined; an optical resonator cavity comprising an odd number of at least partially reflective mirrors arranged in the cavity for reflecting and circulating the input beam in the cavity, the cavity being adapted for receiving the input beam and providing an output beam comprising a first spatial mode having the first frequency f1 and a first polarization and a second spatial mode having the second frequency f2 and a second polarization which differs from the first polarization; and a heterodyne interferometer for receiving the output beam.

IPC Classes  ?

  • G01B 9/02003 - Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using beat frequencies
  • G01B 9/02001 - Interferometers characterised by controlling or generating intrinsic radiation properties
  • G01B 9/02056 - Passive reduction of errors
  • G02B 5/28 - Interference filters
  • G02B 17/00 - Systems with reflecting surfaces, with or without refracting elements

15.

LITHOGRAPHIC APPARATUS AND GAS LOCK FUNNEL

      
Application Number EP2024072432
Publication Number 2025/056249
Status In Force
Filing Date 2024-08-08
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jilisen, Reinier, Theodorus, Martinus
  • Ma, Yue
  • Labetski, Dzmitry
  • Mandrusiak, Gary, Dwayne
  • Gao, Ruhou
  • Battoei, Mohsen, Benjamin
  • Pinckers, Philippe, Remy, Jean, François
  • De Hoogh, Joost
  • Frenzel, Alex, James
  • Brown, Joshua C
  • Huang, Zhuangxiong

Abstract

A lithographic apparatus comprises: a substrate table; a projection system; a gas lock funnel; and a membrane. The substrate table is configured to hold a substrate. The projection system comprises optics configured to project radiation onto a target portion of the substrate. The membrane is supported by the gas lock funnel and arranged between the projection system and the substrate table. The gas lock funnel may defines a nozzle that is arranged to provide a gas in the vicinity of the membrane such that on the projection system side of the membrane the gas is directed preferentially towards a peripheral portion of the gas lock funnel. A final optical element of the projection system may have a central aperture and the nozzle may be arranged to direct the gas preferentially away from the central aperture in the final optical element.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

16.

MEMBRANE MONITORING APPARATUS AND METHOD, AND LITHOGRAPHIC APPARATUS

      
Application Number EP2024072835
Publication Number 2025/056263
Status In Force
Filing Date 2024-08-13
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Schlijper, Michael, Raimond, Lambert
  • Si, Fai Tong

Abstract

A monitoring subsystem for monitoring a membrane in use in a lithographic apparatus, the monitoring subsystem comprising: a light source configured to illuminate the membrane, the membrane being arranged between a first region and a second region of the lithographic apparatus; a light detector configured to measure a total power of light incident on a field of view of the light detector, the light being emitted from the light source and reflected off the membrane; and a controller configured to determine a level of degradation of the membrane at least in part based on the measured total power of light incident on the field of view of the light detector.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support framePreparation thereof
  • G03F 1/84 - Inspecting

17.

METHOD FOR REDUCING STOCHASTIC FAILURES VIA SOURCE-MASK OPTIMIZATION

      
Application Number EP2024072956
Publication Number 2025/056265
Status In Force
Filing Date 2024-08-15
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Peng, Xingyue
  • Yeh, Li-Hao
  • Kaplan, Christopher, James
  • Yang, Ken
  • Peng, Cheng
  • Tao, Xulei

Abstract

Systems and methods for source or mask optimization of a patterning process. Methods may include performing a lithography simulation to predict patterning results; identifying stochastic defects from the predicted patterning results; defining a cost function and a pseudo-gradient; determining a metric of the stochastic defects and the pseudo-gradient for the cost function associated with a performance metric of the patterning process at evaluation locations; and iteratively adjusting the characteristics of the mask or the source based on the metric and the guide function. Methods may include performing a lithography simulation to generate a resist image (RI); determining stochastic errors as a function of a variable bias map (VBM) and the RI; determining a cost function comprising the stochastic errors; determining a gradient of the cost function; and iteratively adjusting the characteristics of the mask or the source based on the gradient of the cost function.

IPC Classes  ?

18.

SYSTEMS AND METHODS FOR BEAM ALIGNMENT IN MULTI CHARGED-PARTICLE BEAM SYSTEMS

      
Application Number EP2024073853
Publication Number 2025/056308
Status In Force
Filing Date 2024-08-26
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Xi, Qingpo
  • Ren, Weiming

Abstract

Systems and methods of aligning beams with an aperture array for multi-beam inspection of a sample are disclosed. The method comprises the steps of forming a plurality of primary charged-particle beamlets from a charged-particle beam, positioning a movable aperture plate upstream from a first aperture array in a first position, wherein in the first position, apertures of the movable aperture plate are aligned with corresponding apertures of the first aperture array, adjusting a path of the primary charged-particle beamlets, using a charged-particle beam alignment deflector, to enable a portion of charged particles of the primary charged-particle beamlets to pass through the aligned apertures, resulting in an alignment between the beamlets and the corresponding apertures of the first aperture array, and moving the movable aperture plate in a second position to enable the primary charged-particle beamlets to pass through the first aperture array without passing through the movable aperture plate.

IPC Classes  ?

  • H01J 37/09 - DiaphragmsShields associated with electron- or ion-optical arrangementsCompensation of disturbing fields
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

19.

METHOD OF DETERMINING A DIMENSIONAL PARAMETER OF A MICROSTRUCTURED OPTICAL FIBER

      
Application Number EP2024074459
Publication Number 2025/056353
Status In Force
Filing Date 2024-09-02
Publication Date 2025-03-20
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • MAX-PLANCK-GESELLSCHAFT ZUR FÖRDERUNG DER WISSENSCHAFTEN E.V. (Germany)
Inventor
  • Uebel, Patrick, Sebastian
  • Frosz, Michael, Henoch

Abstract

A method of determining a dimensional parameter of a microstructured optical fiber (MOF), the method comprising: directing radiation towards the MOF; obtaining one or more signals associated with interference of the radiation between structural elements of the MOF; determining a distance between the structural elements based on the one or more signals associated with interference of the radiation between structural elements of the MOF; and determining the dimensional parameter based on the determined distance. A method for obtaining a MOF is also described.

IPC Classes  ?

  • G02B 6/02 - Optical fibres with cladding
  • G02B 6/032 - Optical fibres with cladding with non-solid core or cladding

20.

RESIST UNDER-LAYER FOR USE IN A LITHOGRAPHIC APPARATUS

      
Application Number 18724326
Status Pending
Filing Date 2022-12-20
First Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Wuister, Sander Frederik

Abstract

A substrate arrangement for use in a lithographic apparatus, the substrate arrangement including: a resist; a photosensitive resist under-layer; and a substrate, wherein an exposure threshold of the resist under-layer is lower than an exposure threshold of the resist. The resist and the resist under-layer may be both photosensitive to EUV radiation.

IPC Classes  ?

  • G03F 7/004 - Photosensitive materials
  • G03F 7/095 - Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
  • G03F 7/11 - Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
  • G03F 7/20 - ExposureApparatus therefor
  • G03F 7/26 - Processing photosensitive materialsApparatus therefor

21.

METHOD OF PROCESSING DATA DERIVED FROM A SAMPLE

      
Application Number 18962091
Status Pending
Filing Date 2024-11-27
First Publication Date 2025-03-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Kuiper, Vincent Sylvester
  • Wieland, Marco Jan-Jaco

Abstract

The embodiments of the present disclosure provide a method of processing data derived from a sample, comprising processing an initial data set of elements derived from a detection by a detector for calibration, the data set comprising elements representing nuisance signals and detection signals. The processing of the initial data set comprising: fitting a distribution model to the initial data set to create a nuisance distribution model; setting a signal strength value, and selecting elements in the initial data set having a magnitude greater than the signal strength value as a set of defect candidates; fitting a distribution model to the set of defect candidates to create a defect distribution model of detection signals; and determining a signal strength threshold dependent on at least the defect distribution model. The determining comprising correcting the defect distribution model.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/244 - DetectorsAssociated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

22.

ELECTRON-OPTICAL DEVICE

      
Application Number 18967282
Status Pending
Filing Date 2024-12-03
First Publication Date 2025-03-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Scheffers, Paul Ijmert
  • Otten, Christiaan
  • Daan, Boris
  • Teunissen, Christan
  • Overes, Frank Theo

Abstract

A charged particle-optical device for projecting a plurality of charged particle beams along respective beam paths towards a sample location, the charged particle-optical device comprising: a charged particle-optical assembly configured to manipulate the charged particle beams, the charged particle-optical assembly comprising a first charged particle-optical element comprising a plate having one or more apertures around a beam path of the charged particle beams; and an electrical connector configured to electrically connect the plate of the first charged particle-optical element to an electrical power source, wherein the electrical connector: comprises a shield configured to define a field free region substantially free of electric fields; and is configured to be electrically connectable to a flexible coupling configured to electrically connect the plate of the first charged particle-optical element to the electrical power source, the flexible coupling located within the field free region.

IPC Classes  ?

  • H01J 37/09 - DiaphragmsShields associated with electron- or ion-optical arrangementsCompensation of disturbing fields

23.

METHOD FOR DETERMINING ROOT CAUSES OF EVENTS OF A SEMICONDUCTOR MANUFACTURING PROCESS AND FOR MONITORING A SEMICONDUCTOR MANUFACTURING PROCESS

      
Application Number EP2024072704
Publication Number 2025/056258
Status In Force
Filing Date 2024-08-12
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Lancia, Carlo
  • Gkorou, Dimitra
  • Van Hertum, Pieter

Abstract

Described is a method for assessing a plurality of candidate actions for obtaining evidence data and relating to an assessment action of at least one manufacturing apparatus or system, the method comprising: obtaining at least one probabilistic model which relates said evidence data to an estimated probability of one or more root cause assessments of the manufacturing apparatus; determining, using the at least one probabilistic model, an estimated probability of one or more root cause assessments based on evidence data comprising additional evidence from one or more candidate actions which have not been performed (400); determining a reward based on the respective estimated probability of the one or more root cause assessments and an associated respective cost of said one or more candidate actions; and deciding on whether to perform any of said one or more candidate actions based on said reward.

IPC Classes  ?

24.

REAL TIME RECIPE TUNING FOR INSPECTION SYSTEM

      
Application Number EP2024072825
Publication Number 2025/056262
Status In Force
Filing Date 2024-08-13
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Wang, Te-Sheng
  • Wu, Fangzhen

Abstract

The present disclosure provides a method for real time parameter tuning for a wafer inspection system. The method comprises acquiring one or more input images streamed from the wafer inspection system; applying a plurality of image enhancement parameters to the one or more input image to generate a plurality of images with different characteristics; identifying defects from the plurality of images by applying a plurality of defect detection parameters; and determining, based on the defects identified on the plurality of images, a parameter combination of the plurality of image enhancement parameters and of the plurality of the defect detection parameters to be used for detecting a first defect type.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
  • G06V 20/52 - Surveillance or monitoring of activities, e.g. for recognising suspicious objects

25.

MOLTEN METAL TRANSFER LINE

      
Application Number EP2024072983
Publication Number 2025/056269
Status In Force
Filing Date 2024-08-15
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • De Rapper, Willem, Michiel
  • De Jager, Pieter, Cornelis, Johan
  • Hilderink, André, Johannes, Maria
  • Schout, Twan, Jacobus
  • Kaarls, Daniel, Peter
  • Rijpma, Albert, Pieter
  • Bos, Wouter, Sebastiaan
  • Sonic, Vlada-Maria

Abstract

A transfer line for transferring molten metal from a reservoir to a nozzle is disclosed. The transfer line comprises a plurality of heater zone members in thermal contact with one another. The heater zone members are arranged to maintain a temperature of the metal over the transfer line such that the metal remains in a molten state. The transfer line comprises thermal interconnection members arranged between adjacent ones of the heater zone members. Thermal contact between adjacent heater zone members is provided by the thermal interconnection members.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • B22F 10/22 - Direct deposition of molten metal

26.

SETPOINT GENERATOR, POSITION CONTROL SYSTEM, STAGE APPARATUS AND EXPOSURE APPARATUS

      
Application Number EP2024073918
Publication Number 2025/056316
Status In Force
Filing Date 2024-08-27
Publication Date 2025-03-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Huiberts, Sjoerd, Martijn
  • Kimman, Maarten, Hartger
  • Mattaar, Thomas, Augustus
  • Roset, Bas, Johannes, Petrus
  • Schippers, Casper, Floris

Abstract

The invention provides a setpoint generator for a position control system of a positioner comprising a master module and a slave module, the positioner being configured to displace an object, the setpoint generator being configured to, during a displacement of the object: - determine a master setpoint trajectory for the master module; - determine a slave setpoint trajectory for the slave module by means of an optimization algorithm; the optimization algorithm using at least part of the master setpoint trajectory, one or more boundary conditions and an objective function, the objection function being associated with a performance characteristic of the positioner.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G05B 19/19 - Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by positioning or contouring control systems, e.g. to control position from one programmed point to another or to control movement along a programmed continuous path

27.

MEMBRANES FOR USE WITHIN A LITHOGRAPHIC APPARATUS AND A LITHOGRAPHIC APPARATUS COMPRISING SUCH A MEMBRANE

      
Document Number 03262262
Status Pending
Filing Date 2015-07-02
Open to Public Date 2025-03-18
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Der Zande, Willem Joan
  • Scaccabarozzi, Luigi
  • Dhalluin, Florian Didier Albin
  • Nikipelov, Andrey Alexandrovich
  • Boogaard, Arjen
  • Banine, Vadim Yevgenyevich
  • Kuznetsov, Alexey Sergeevich
  • Péter, Mária
  • Benschop, Jozef Petrus Henricus
  • Yakunin, Andrei Mikhailovich
  • Van Zwol, Pieter-Jan

Abstract

A self-standing membrane for a lithographic apparatus, the membrane comprising a MoSi2 layer, wherein the membrane is transmissive for at least 65% EUV radiation.

28.

SYSTEMS AND METHODS FOR GENERATING MULTIPLE ILLUMINATION SPOTS FROM A SINGLE ILLUMINATION SOURCE

      
Application Number 18720609
Status Pending
Filing Date 2022-12-14
First Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Sobolev, Kirill Urievich
  • Shome, Krishanu

Abstract

Spots of illumination directed at a target are described. Ghost reflections often prevalent in wafer alignment sensors are reduced or eliminated. First, second, and third optical elements are described. The first optical element receives illumination along a first axis, reflects a first portion of the illumination away from the first axis, and transmits a second portion of the illumination along the first axis. The second first optical element receives the first portion of the reflected illumination and at least partially reflects a third portion of the illumination along a second axis. The third first optical element receives and fully reflects a fourth portion of the illumination along a third axis. The second portion, third and fourth portions of the illumination are directed toward the target at different angles relative to each other to create three different spots of illumination.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

29.

DATA PROCESSING DEVICE AND METHOD, CHARGED PARTICLE ASSESSMENT SYSTEM AND METHOD

      
Application Number 18959531
Status Pending
Filing Date 2024-11-25
First Publication Date 2025-03-13
Owner ASML Netherlands B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

A charged particle assessment apparatus for detecting defects in samples by scanning a charged particle beam across a sample; the apparatus comprising: A charged particle assessment apparatus for detecting defects in samples by scanning a charged particle beam across a sample; the apparatus comprising: a detector unit configured to output a digital detection signal of pixel values in response to signal particles incident from the sample, the pixel values representing elongate pixels.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/244 - DetectorsAssociated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

30.

CALIBRATION SYSTEM FOR AN EXTREME ULTRAVIOLET LIGHT SOURCE

      
Application Number 18960519
Status Pending
Filing Date 2024-11-26
First Publication Date 2025-03-13
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Riggs, Daniel Jason
  • Matthes, Liane Manuela
  • Donker, Rilpho Ludovicus
  • Leenders, Martinus Hendrikus Antonius

Abstract

A metrology system includes a light beam metrology apparatus configured to sense one or more aspects of an amplified light beam and to make adjustments to the amplified light beam based on the sensed one or more aspects; a target metrology apparatus configured to measure one or more properties of a modified target after a target has interacted with the amplified light beam, and to determine a moment when the modified target achieves a reference calibration state; and a control apparatus configured to: receive the reference calibration state and the moment at which the reference calibration state is achieved from the target metrology apparatus; determine a light beam calibration state of the amplified light beam based on the received reference calibration state and the moment at which the reference calibration state is achieved; and provide the light beam calibration state to the light beam metrology apparatus.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G01B 11/24 - Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

31.

CHARGED PARTICLE-OPTICAL APPARATUS

      
Application Number 18960528
Status Pending
Filing Date 2024-11-26
First Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Wieland, Marco Jan-Jaco

Abstract

A charged particle-optical apparatus for assessing a sample at an assessment location, the charged particle-optical apparatus comprising: an assessment charged particle-optical device configured to project an assessment charged particle beam along an assessment beam path toward an assessment location, the assessment charged particle beam for assessing a sample at the assessment location; a preparatory charged particle-optical device configured to project a preparatory charged particle beam along a preparatory beam path, the preparatory charged particle beam for preparing a sample for assessment; and a light source configured to project a light beam toward an illumination location; wherein a locational relationship between the illumination location and the assessment charged particle-optical device is different from a locational relationship between the assessment location and the assessment charged particle-optical device.

IPC Classes  ?

  • H01J 37/22 - Optical or photographic arrangements associated with the tube
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

32.

CLEANING A STRUCTURE SURFACE IN AN EUV CHAMBER

      
Application Number 18962837
Status Pending
Filing Date 2024-11-27
First Publication Date 2025-03-13
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Xia, Chunguang
  • Baek, Jonghoon
  • Stewart, Iv, John Tom
  • Laforge, Andrew David
  • Van Heijnsbergen, Deniz
  • Evans, David Robert
  • Dziomkina, Nina Vladimirovna
  • Ma, Yue

Abstract

In some general aspects, a surface of a structure within a chamber of an extreme ultraviolet (EUV) light source is cleaned using a method. The method includes generating a plasma state of a material that is present at a location adjacent to a non-electrically conductive body that is within the chamber. The generation of the plasma state of the material includes electromagnetically inducing an electric current at the location adjacent the non-electrically conductive body to thereby transform the material that is adjacent the non-electrically conductive body from a first state into the plasma state. The plasma state of the material includes plasma particles, at least some of which are free radicals of the material. The method also includes enabling the plasma particles to pass over the structure surface to remove debris from the structure surface without removing the structure from the chamber of the EUV light source.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • H01J 37/32 - Gas-filled discharge tubes
  • H05H 1/46 - Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

33.

SYSTEM AND METHOD FOR SUBSTRATE DISTURBANCE MINIMIZATION, AND LITHOGRAPHIC APPARATUS INCLUDING THE SYSTEM

      
Application Number EP2024071785
Publication Number 2025/051458
Status In Force
Filing Date 2024-08-01
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kunnen, Johan, Gertrudis, Cornelis
  • Groot E/v Nelson, Catharina, Cecile, Maria
  • Albers, Tom, Antonius, Cornelius, Johannes, Maria

Abstract

The present disclosure provides a lithographic process for handling two substrates in parallel, the process comprising the steps of: - exposing a first substrate on a first substrate table; - determining an available delay time for executing a second substrate sequence for measuring of a second substrate, and - optimizing the second substrate sequence taking into account the available delay time by delaying at least a part of the second substrate sequence. The second substrate is a closing wafer and the second substrate sequence is a closing wafer sequence. The process comprises the steps of determining whether the second substrate sequence is production critical; and if the second substrate sequence is production critical, executing the second substrate sequence without delay.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

34.

LINEAR MOTOR WITH COGGING COMPENSATION

      
Application Number EP2024072019
Publication Number 2025/051467
Status In Force
Filing Date 2024-08-02
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Moon, Hansol
  • Gajapathy, Rokesh
  • Robinson, John, Wesley
  • Finney, Nathan, Robert
  • Roux, Stephen
  • Verma, Arjun

Abstract

An actuator system includes a linear motor that has a first part, the first part being electrically communicable with a multi-phase power source and comprising an array of coils, the coils each having a respective ferromagnetic core, a second part, the second part comprising an array of magnets, the first and second parts being movable relative to each other in response to electrical signals from the multi- phase power source, a force sensor, configured to measure a force of mutual attraction between the first part and the second part, and a controller, configured to control the electrical signals responsive to variations in the force of mutual attraction.

IPC Classes  ?

35.

SYSTEMS AND METHODS FOR MAGNETICALLY LEVITATED OBJECT STAGES

      
Application Number EP2024072464
Publication Number 2025/051483
Status In Force
Filing Date 2024-08-08
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Roux, Stephen
  • Finney, Nathan, Robert
  • Carter, Frederick, Michael

Abstract

A transport system includes an object stage, two opposing pairs of linear motors, and a controller. The two opposing pairs of linear motors accelerate the object stage along a longitudinal axis and maintain a vertical gap between the object stage and the two opposing pairs of linear motors along a vertical axis. The controller is coupled to the two opposing pairs of linear motors and controls movement of the object stage and the vertical gap. The transport system can include reluctance actuators coupled to the object stage to maintain the vertical gap and a horizontal gap between the object stage and the reluctance actuators by counter-balancing forces generated by the controller. Advantageously the transport system can reduce contamination (avoids gas bearings), reduce parasitic normal forces, reduce cogging, provide low negative stiffness, maintain precise linear control of the object stage, and maintain equal gaps around the object stage during high acceleration.

IPC Classes  ?

36.

RETICLE CONDITIONING NOZZLE

      
Application Number EP2024072913
Publication Number 2025/051505
Status In Force
Filing Date 2024-08-14
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Modaresahmadi, Sina
  • Yan, Bixing
  • Chen, Lien-Sheng
  • Griswold, Robert, Scott

Abstract

A reticle conditioning nozzle configured to supply conditioning fluid to a sub-volume of a reticle handling module includes an inlet end, configured to receive conditioning fluid from a conditioning fluid source, an outlet end, configured to distribute the conditioning fluid into the sub-volume of the reticle handling module, wherein the outlet end is configured to deliver two separated fluid flows, a first fluid flow across a top surface of a reticle in the reticle handling module, and a second fluid flow across a bottom surface of the reticle, and a transitional section, comprising vanes disposed between the inlet end and the outlet end, the transitional section being configured to vary a flow of the conditioning fluid across a width of the outlet end such that a temperature gradient across a surface of the reticle is reduced.

IPC Classes  ?

37.

CLOG-RESISTANT DROPLET GENERATORS FOR EUV LIGHT SOURCES

      
Application Number EP2024074098
Publication Number 2025/051614
Status In Force
Filing Date 2024-08-28
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ridinger, Armin, Bernhard
  • Vaschenko, Georgiy, Olegovich
  • Ershov, Alexander, Igorevich

Abstract

A droplet generator for an EUV light source includes a fluid cavity within a structure, the fluid cavity having a first fluid cavity end being open or otherwise capable of receiving a fluid and a second fluid cavity end; a particle filter within the fluid cavity dividing the fluid cavity into an upstream volume between an upstream surface of the particle filter and the first fluid cavity end, a filter volume occupied by the filter, and a downstream volume downstream of a downstream surface of the filter; and a tube having a first opening positioned outside the fluid cavity, the tube extending from the first opening through the second fluid cavity end to a second opening within the downstream volume, the tube extending into the downstream volume by more than 10% of a distance from the first opening to the second opening.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

38.

TEMPERATURE MEASUREMENT OF OPTICAL ELEMENTS IN AN OPTICAL APPARATUS

      
Application Number 18286631
Status Pending
Filing Date 2022-03-29
First Publication Date 2025-03-13
Owner ASML Netherland B.V. (Netherlands)
Inventor
  • Bos, Koen Martin Willem Jan
  • Klugkist, Joost André
  • Anand, Anirudh

Abstract

An optical apparatus is disclosed, the apparatus comprising an optical element having a reflective surface for reflecting incident radiation in a beam path, and at least one sensor configured to sense radiation corresponding to a temperature of a respective portion of a backside surface of the optical element. Also disclosed is a method of controlling a temperature of a reflective surface of an optical element in a lithographic apparatus.

IPC Classes  ?

  • G01K 11/12 - Measuring temperature based on physical or chemical changes not covered by group , , , or using changes in colour, translucency or reflectance
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

39.

METHOD OF DETERMINING A POSITIONING CORRECTION FOR A LITHOGRAPHIC PROCESS

      
Application Number EP2024071942
Publication Number 2025/051465
Status In Force
Filing Date 2024-08-02
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Jin, Wenjie
  • Lentzen, Sven, Servaas, Klarinus

Abstract

Disclosed is a method of determining a positioning correction of a substrate in a lithographic process. The method comprises obtaining a trained first model, the trained first model having been trained to minimize a force balance residual of forces on a substrate subsequent to performing at least one action resulting in a physical deformation of said substrate, said physical deformation being impacted by nonlinear frictional interaction between the substrate and a substrate support supporting the substrate, for various training datasets; obtaining estimated substrate deformation data relating to the substrate; inputting said estimated substrate deformation data into said trained first model to obtain modeled substrate deformation data relating to said substrate subsequent to said action, said modeled substrate deformation data accounting for said nonlinear frictional interaction between the substrate and the substrate support; and determining a positioning correction of said substrate in an exposure using said modeled substrate deformation data.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

40.

SEMI-SUPERVISED, SELF-SUPERVISED, AND REINFORCEMENT LEARNING MACHINE LEARNING MODELS FOR MASK PREDICTION

      
Application Number EP2024072404
Publication Number 2025/051477
Status In Force
Filing Date 2024-08-07
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Onose, Alexandru
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Boone, Robert, Elliott
  • Hamouda, Ayman
  • Ruiz, Hans-Christian
  • Luo, Ya
  • Lu, Yen-Wen
  • Chen, Been-Der
  • Howell, Rafael C.
  • Wang, Jen-Shiang
  • Spence, Christopher, Alan
  • Tao, Jun
  • Cao, Yu
  • Pisarenco, Maxim

Abstract

A method for training a machine learning (ML) model for mask pattern generation, comprising: obtaining the ML model, the ML model configured to generate an output mask pattern based on an input pattern; and training the ML model by: applying the ML model to a input training pattern to generate a predicted mask pattern; applying a forward model to the predicted mask pattern to generate a predicted wafer pattern, the forward model configured to predict an output wafer pattern based on an input mask pattern; determining a difference between the target wafer pattern and the predicted wafer pattern; and adjusting the ML model based on the difference between the target wafer pattern and the predicted wafer pattern. A method for training a generative model to create mask patterns is also provided. Some embodiments provide model refinement through reinforcement learning, which may be used with any other model provided.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction featuresPreparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

41.

SUBSTRATE PARAMETER MEASUREMENT

      
Application Number EP2024072552
Publication Number 2025/051488
Status In Force
Filing Date 2024-08-09
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Nienhuys, Han-Kwang
  • Cramer, Hugo, Augustinus, Joseph

Abstract

A method of measuring a parameter of a structure of a substrate comprises directing a beam of an emitted radiation onto the structure and thereby generating a diffracted radiation due to diffraction by the structure. The emitted radiation has a spectrum comprising a plurality of peaks. The method further comprises using an imaging sensor to detect the diffracted radiation; using knowledge regarding the spectrum and a prior of the diffraction efficiency to obtain an estimate of diffraction efficiency as a function of wavelength; and using the estimated diffraction efficiency to measure the parameter of the structure.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • G01N 21/956 - Inspecting patterns on the surface of objects

42.

RETICLE HANDLING SYSTEM

      
Application Number EP2024072622
Publication Number 2025/051492
Status In Force
Filing Date 2024-08-09
Publication Date 2025-03-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Van Dongen, Paul
  • Van Der Hoeven, Carsten, Maarten

Abstract

Multiple cover store positions are provided within an in-vacuum reticle library area of a lithographic apparatus. A system for handling a reticle includes a vacuum chamber, a library configured to hold reticle pods in vacuum in reticle pod positions disposed within the vacuum chamber, each reticle pod including a respective baseplate, reticle, and cover. The system includes an in-vacuum robot to selectively pick a reticle from the plurality of reticles and provide the picked reticle to a reticle exchange device, and a plurality of actuators, each actuator corresponding to a respective one of the pod positions, and each actuator being arranged to remove the cover from a corresponding reticle pod and move the cover into a cover storage position associated with the corresponding reticle pod.

IPC Classes  ?

43.

METHOD OF OPERATING A MICROLITHOGRAPHIC PROJECTION EXPOSURE APPARATUS

      
Application Number EP2024074798
Publication Number 2025/051840
Status In Force
Filing Date 2024-09-05
Publication Date 2025-03-13
Owner
  • CARL ZEISS SMT GMBH (Germany)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fuchs, Sebastian
  • Fischbach, Joachim
  • Von Hodenberg, Martin
  • Gruner, Toralf
  • Manger, Matthias
  • Schoormans, Carolus J. C.
  • Van Der Wijst, Marc Wilhelmus Maria
  • Butler, Hans

Abstract

A method of operating a microlithographic projection exposure apparatus (10) is described. The exposure apparatus comprises a mask holder (20) for holding a mask (18), a substrate holder (26) for holding a substrate (24), a projection lens (30) having several optical elements (R1 - R4) for imaging mask structures of the mask onto the substrate and a manipulator system (34), wherein the optical elements, the mask holder and the substrate holder each are an optical path element in an exposure optical path of the projection exposure apparatus and the manipulator system is configured for adjusting several travels, defined by travel variables (68), at the optical path elements of the projection lens. The method comprises the following steps: providing a wave front deviation (50) of the projection lens, and determining a control command (42) comprising travels for the manipulator system for correcting the wave front deviation using a model (60). The model describes the wave front deviation as a function of the travel variables and for this comprises a group of offset coefficients (62), which are independent of the travel variables, a group of linear coefficients (64), which are each attributed to one of the travel variables to the power of one, and a group of quadratic coefficients (66), which are each attributed to a product of two of the travel variables or to a square of one of the travel variables. The offset coefficients (62) are calibrated more frequently than the linear coefficients (64).

IPC Classes  ?

44.

FULLY AUTOMATED SEM SAMPLING SYSTEM FOR E-BEAM IMAGE ENHANCEMENT

      
Application Number 18882681
Status Pending
Filing Date 2024-09-11
First Publication Date 2025-03-06
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Zhou, Wentian
  • Yu, Liangjiang
  • Wang, Teng
  • Pu, Lingling
  • Fang, Wei

Abstract

Disclosed herein is a method of automatically obtaining training images to train a machine learning model that improves image quality. The method may comprise analyzing a plurality of patterns of data relating to a layout of a product to identify a plurality of training locations on a sample of the product to use in relation to training the machine learning model. The method may comprise obtaining a first image having a first quality for each of the plurality of training locations, and obtaining a second image having a second quality for each of the plurality of training locations, the second quality being higher than the first quality. The method may comprise using the first image and the second image to train the machine learning model.

IPC Classes  ?

  • G06V 10/774 - Generating sets of training patternsBootstrap methods, e.g. bagging or boosting
  • G06F 18/214 - Generating training patternsBootstrap methods, e.g. bagging or boosting
  • G06T 7/00 - Image analysis
  • G06V 10/776 - ValidationPerformance evaluation
  • G06V 10/98 - Detection or correction of errors, e.g. by rescanning the pattern or by human interventionEvaluation of the quality of the acquired patterns

45.

UTILIZE MACHINE LEARNING IN SELECTING HIGH QUALITY AVERAGED SEM IMAGES FROM RAW IMAGES AUTOMATICALLY

      
Application Number 18952713
Status Pending
Filing Date 2024-11-19
First Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Zhang, Chen
  • Zhang, Qiang
  • Wang, Jen-Shiang
  • Liang, Jiao

Abstract

A method for evaluating images of a printed pattern. The method includes obtaining a first averaged image of the printed pattern, where the first averaged image is generated by averaging raw images of the printed pattern. The method also includes identifying one or more features of the first averaged image. The method further includes evaluating the first averaged image, using an image quality classification model and based at least on the one or more features. The evaluating includes determining, by the image quality classification model, whether the first averaged image satisfies a metric.

IPC Classes  ?

  • G06T 7/00 - Image analysis
  • G01N 23/2251 - Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups , or by measuring secondary emission from the material using electron or ion microprobes using incident electron beams, e.g. scanning electron microscopy [SEM]
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

46.

LITHOGRAPHIC APPARATUS AND ASSOCIATED METHOD

      
Application Number EP2024070813
Publication Number 2025/045456
Status In Force
Filing Date 2024-07-23
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Goudzwaard, Maurits
  • Broomans, Peterjan
  • Steeghs, Marco, Matheus, Louis
  • Gang, Tian
  • Mcnamara, John, Martin
  • Van Setten, Eelco
  • Finders, Jozef, Maria
  • Vromen, Joost, Anton, Frits
  • Blok, Sander

Abstract

A lithographic apparatus comprising a support structure configured to support a patterning device such that the patterning device is positionable in an illumination region; an illumination system operable to receive radiation and direct at least a portion of the received radiation to the illumination region; a substrate table configured to support a substrate; a projection system operable to form an image of a portion of a patterning device supported by the support structure on a substrate supported by the substrate table; a scanning system operable to move the support structure relative to the illumination region along a scanning trajectory so as to move the patterning device supported by the support structure through the illumination region along the scanning trajectory; wherein the illumination system is configured to control a shape of the illumination region based on an overlay error or a focus error.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

47.

ELECTROMOTIVE FORCE BRAKING IN A LITHOGRAPHIC APPARATUS

      
Application Number EP2024071837
Publication Number 2025/045499
Status In Force
Filing Date 2024-08-01
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Na, Sae Na
  • Tsao, Yuchia
  • Banala, Sai
  • Gunawardana, Ruvinda Vipul
  • Jansen, Erik

Abstract

A braking system can include a moving frame, one or more balance masses, one or more actuators, and one or more mechanical buffers. The moving frame can move with a predetermined kinetic energy. The one or more balance masses can absorb reaction forces exerted by the moving frame. The one or more actuators can move the moving frame and stop movement of the moving frame through braking to prevent collision damage in an error scenario. The one or more actuators can be electrically shorted to generate an electromotive braking force opposite to a direction of motion of the moving frame to reduce the kinetic energy of the moving frame and to reduce a braking distance of the moving frame. The one or more mechanical buffers can absorb a remainder of the kinetic energy of the moving frame remaining after the one or more actuators have completed their braking action.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

48.

INSPECTION APPARATUS, WEDGE SYSTEM FOR REDUCING ABERRATIONS, AND METHOD OF FABRICATION THEREOF

      
Application Number EP2024071995
Publication Number 2025/045510
Status In Force
Filing Date 2024-08-02
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Yang, Tzu-Yi

Abstract

A method of reducing optical aberrations in an optical system includes determining an aberration induced by the optical system. The determining includes transmitting a first beam of radiation having a first wavelength through a wedge system of the optical system. The wedge system includes radiation-curable adhesive disposed between first and second wedges. The determining also includes analyzing the first beam using a detector disposed downstream of the wedge system to determine the aberration. The method also includes curing the radiation-curable adhesive based on the analyzing of the first beam. The curing includes adjusting, using a spatial light modulator, an intensity distribution of a second beam of radiation, having a second wavelength different than the first wavelength, based on the analyzing of the first beam. The curing also includes directing the second beam to the radiation-curable adhesive to induce a position-dependent optical property at the radiation-curable adhesive.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • G02B 27/00 - Optical systems or apparatus not provided for by any of the groups ,

49.

CARBON NANOTUBE PELLICLE MEMBRANE

      
Application Number EP2024073199
Publication Number 2025/045624
Status In Force
Filing Date 2024-08-19
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Schlegel, Andreas
  • Nikipelov, Andrey
  • Vermeulen, Paul, Alexander

Abstract

There is provided a carbon nanotube pellicle membrane for a lithography apparatus, the pellicle membrane including a sacrificial coating. Also provided is a pellicle for a lithographic apparatus, the pellicle including such a pellicle membrane and a support frame for supporting the pellicle membrane, as well as a lithographic apparatus comprising such a pellicle membrane or pellicle. Also described is a method and apparatus for manufacturing a pellicle membrane, as well as the use of such a pellicle membrane, pellicle, lithographic apparatus, method or manufacturing apparatus in a lithographic method or apparatus.

IPC Classes  ?

  • G03F 1/62 - Pellicles or pellicle assemblies, e.g. having membrane on support framePreparation thereof

50.

METHOD OF DETERMINING AN EXPOSURE STRATEGY, LITHOGRAPHY METHOD AND APPARATUS AND COMPUTER PROGRAM

      
Application Number EP2024071447
Publication Number 2025/045481
Status In Force
Filing Date 2024-07-29
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yu, Hyunwoo
  • Kho, Sinatra, Canggih
  • Lambregts, Cornelis, Johannes, Henricus
  • Blom, Herman, Martin

Abstract

Disclosed is a method of determining an exposure strategy for at least a first exposure in a first layer of a substrate with a first correction capability and at least a second exposure in a second layer of said substrate with a second correction capability, the second correction capability being different to the first correction capability, the method comprising: determining a first layer correctable error component of said first layer parameter of interest data, which is correctable according to said first correction capability; determining a first portion of said first layer correctable error component uncorrectable according to said second correction capability; dividing said first portion of said first layer correctable error into at least a first sub-portion and a second sub-portion; and attributing said first sub-portion to a first exposure error budget and said second sub-portion a second exposure error budget.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

51.

MULTIPLE PITCH SEM OVERLAY MARKS AND ALGORITHM FOR STITCHING AREA

      
Application Number EP2024071761
Publication Number 2025/045496
Status In Force
Filing Date 2024-07-31
Publication Date 2025-03-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Fu, Jiyou

Abstract

Improved systems and methods for determining stitching overlay and other metrology parameters in semiconductor manufacturing are disclosed. The systems and methods may include acquiring a measured image of multiple overlay marks within a single field of view of an inspection system; individually tuning the image processing algorithm parameters of the measured image for each overlay mark to determine measured values of each overlay mark; and comparing the measured values to determine the stitching overlay or other metrology parameters.

IPC Classes  ?

52.

ELEMENT OF AN AFM TOOL

      
Application Number 18724551
Status Pending
Filing Date 2022-12-22
First Publication Date 2025-02-27
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Arabul, Mustafa Ümit
  • Zhou, Zili
  • Pandey, Nitesh
  • Verschuren, Coen Adrianus
  • Coene, Willem Marie Julia Marcel
  • Verbiest, Gerard Jan
  • Steeneken, Peter Gerard
  • Robin, Martin Pierre

Abstract

The disclosure relates to determining information about a target structure formed on a substrate using a lithographic process. In one arrangement, a cantilever probe is provided having a cantilever arm and a probe element. The probe element extends from the cantilever arm towards the target structure. Ultrasonic waves are generated in the cantilever probe. The ultrasonic waves propagate through the probe element into the target structure and reflect back from the target structure into the probe element or into a further probe element extending from the cantilever arm. The reflected ultrasonic waves are detected and used to determine information about the target structure.

IPC Classes  ?

  • G01Q 10/04 - Fine scanning or positioning
  • G01Q 60/32 - AC mode
  • G01Q 70/14 - Particular materials
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

53.

OPTICAL SYSTEM AND METHOD

      
Application Number EP2024069355
Publication Number 2025/040304
Status In Force
Filing Date 2024-07-09
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Butler, Hans

Abstract

An optical system comprising an optical component configured to modify electromagnetic radiation and an electric motor configured to actuate the optical component. The electric motor comprises a mover comprising a plurality of magnetic components connected to the optical component. The electric motor comprises a stator unconnected to the mover comprising a plurality of electric components configured to receive an electric current and thereby interact with a magnetic field of the plurality of magnetic elements for contactless actuation of the optical component.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G02B 7/02 - Mountings, adjusting means, or light-tight connections, for optical elements for lenses
  • G02B 7/182 - Mountings, adjusting means, or light-tight connections, for optical elements for prismsMountings, adjusting means, or light-tight connections, for optical elements for mirrors for mirrors

54.

VERTICALLY FEDERATED TRAINING OF A MACHINE LEARNING MODEL USED BY DIFFERENT PARTICIPANTS FOR CONFIGURING A SEMICONDUCTOR MANUFACTURING PROCESS

      
Application Number EP2024070100
Publication Number 2025/040320
Status In Force
Filing Date 2024-07-16
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Gkorou, Dimitra
  • Shankar, Aditya
  • Khedekar, Satej
  • Chen, Lydia Yiyu
  • Decouchant, Jérémie, Éric, Alphonse, Pierre

Abstract

Training a machine learning model used by different participants is described. Vertically federated learning is used to train the model with time series data sets. Time series data sets received from different participants are aligned, with each time series data set comprising different features corresponding to one or more samples common to each participant, but preserving the privacy of each time series data set for participants. First model parameters are received from a first participant. These are determined based on the aligning and first features provided by the first participant in a first time series data set. Second model parameters are received from a second participant. These are determined based on the aligning, second features provided by the second participant in a second time series data set, and semiconductor manufacturing process outputs associated with the second features. The model is trained based on the first and second model parameters.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

55.

LEARNING-BASED LOCAL ALIGNMENT FOR EDGE PLACEMENT METROLOGY

      
Application Number EP2024071028
Publication Number 2025/040361
Status In Force
Filing Date 2024-07-24
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Pisarenco, Maxim
  • Van Kraaij, Markus, Gerardus, Martinus, Maria
  • Pu, Lingling

Abstract

A charged particle beam inspection method for edge placement error detection includes acquiring a grayscale inspection image and performing a series of transformations between the inspection image and a binary reference image to calculate a deformation map. The deformation map may then be applied to the binary reference image to generate a binary customized contour image that matches the edge locations of patterns in the inspection image.

IPC Classes  ?

  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
  • G06V 10/82 - Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks

56.

OBJECT HOLDER, LITHOGRAPHIC APPARATUS COMPRISING SUCH OBJECT HOLDER AND METHODS FOR AN OBJECT HOLDER

      
Application Number 18724495
Status Pending
Filing Date 2022-11-25
First Publication Date 2025-02-27
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Reijnen, Martinus Cornelis
  • Poiesz, Thomas
  • Van Rooij, René Adrianus
  • Driessen, Alexander Barbara Jacobus Maria
  • Levy, Keane Michael

Abstract

The invention provides an object holder to hold an object, comprising: a clamp side to clamp the object, wherein the clamp side is electrically conductive, at least one electrode arranged at a distance from the clamp side, and electrically isolated from the clamp side, a controller arranged to provide an electrode voltage to the at least one electrode based on a measured charge signal representative for a charge level of the object holder and/or the object in order to decrease a potential difference between an electrical potential of the clamp side and an electrical potential of the object.

IPC Classes  ?

  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

57.

MECHANICALLY CONTROLLED STRESS-ENGINEERED OPTICAL SYSTEMS AND METHODS

      
Application Number 18725067
Status Pending
Filing Date 2023-01-02
First Publication Date 2025-02-27
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • UNIVERSITY OF ROCHESTER (USA)
Inventor
  • Joobeur, Adel
  • Jacobs, Richard
  • Zimmerman, Richard Carl
  • Basiri, Ali
  • Brown, Thomas Gordon
  • Galabada Dewage, Ashan Ariyawansa

Abstract

A fast and dynamic waveplate is described. The present systems and methods utilize stress birefringence that generates inside a plate when force is applied on one or more sides of the plate. The force is applied using one or more actuators distributed along the side(s) of the plate. The magnitude of the force can be controlled using a control unit. A generated stress birefringence is spatially varying across the plate. By carefully adjusting the force, the plate can be converted into a waveplate with an arbitrary value of retardance that is determined by the force. Since the parameter that determines the birefringence is force, a control unit can be used to apply different combinations of force values at a sub-millisecond speed to achieve fast control of the value of the birefringence as well as an orientation in the plate.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

58.

SUBSTRATE TABLE, LITHOGRAPHIC APPARATUS, STICKER, COVER RING AND METHOD OF OPERATING A LITHOGRAPHIC APPARATUS

      
Application Number 18727740
Status Pending
Filing Date 2023-01-11
First Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kramer, Gijs
  • Berendsen, Christianus Wilhelmus Johannes
  • Van Pelt, Stijn
  • Vermeulen, Marcus Martinus Petrus Adrianus
  • Ravensbergen, Simon Karel
  • Bogaart, Erik Willem

Abstract

A substrate table, for use in an immersion lithographic apparatus, having a support area defining a support plane to support a substrate to be patterned and an upper surface surrounding the support area, wherein: the upper surface has an outer region that is substantially planar and a transition region proximate the support area; and the transition region is not co-planar with the outer region so as to ameliorate a level transition between the outer region and a non-standard substrate, which has a thickness different than a distance between the support plane and a nominal plane defined by the outer region.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

59.

CONFIDENTIALITY-PRESERVING COLLABORATIVE MODEL FOR DERIVING INFORMATION ON A PRODUCTION SYSTEM

      
Application Number EP2024070732
Publication Number 2025/040341
Status In Force
Filing Date 2024-07-22
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Dos Santos Guzella, Thiago
  • Malan, Abele, Millenium
  • Chen, Yiyu Lydia
  • Decouchant, Jérémie, Éric, Alphonse, Pierre

Abstract

A method is presented for using a distributed network to derive information characterising a production system described by variables. The network comprises two probabilistic models implementing a graph comprising nodes associated with a corresponding one of the variables, and directed edges connecting respective pairs of the nodes. Each graph comprises an interface node for which the corresponding associated variable is common and normal nodes to which edge(s) are directed that are associated with a respective conditional probability table (CPT) specifying a probability of the variable being in a set of states based on the variables associated with the corresponding one or more nodes from which the one or more edges are directed. The interface node is associated with a partial CPT of the common variable. The method comprises generating, using the at least two models, conditioned data specifying a probability distribution of the state of the common variable.

IPC Classes  ?

  • G06N 7/01 - Probabilistic graphical models, e.g. probabilistic networks
  • G06N 20/00 - Machine learning
  • G06N 5/022 - Knowledge engineeringKnowledge acquisition

60.

ANISOTROPIC RESIST PATTERNING

      
Application Number EP2024070740
Publication Number 2025/040344
Status In Force
Filing Date 2024-07-22
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Venugopalan, Syam, Parayil
  • Van De Kerkhof, Marcus, Adrianus
  • Faramarzi, Vina

Abstract

Disclosed herein is a tool for an EUV exposure process, the tool comprising: a substrate support arranged to support a substrate; an electrode arranged in the path of the EUV radiation and between the substrate support and a main illumination region, wherein the main illumination region is a region through which patterned EUV radiation propagates to illuminate a substrate in the EUV exposure process; and a power supply arrangement configured to generate an electric field in a substrate supported by the substrate support.

IPC Classes  ?

61.

METHOD AND SYSTEM FOR OPTIMIZING SOURCE, MASK AND WAVEFRONT BASED ON DIFFRACTION PATTERN TO REDUCE M3D FADING

      
Application Number EP2024071674
Publication Number 2025/040387
Status In Force
Filing Date 2024-07-31
Publication Date 2025-02-27
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Hsu, Duan-Fu, Stephen
  • Tang, Jialei
  • Socha, Robert, John
  • Zhang, Youping
  • Van Setten, Eelco

Abstract

Described is a method and system for reducing a mask three-dimensional (M3D) induced contrast loss in a lithography process. A diffraction pattern of a target pattern is determined based on a zeroth and first order diffraction of the target pattern. The diffraction pattern is discretized and partitioned into zeroth order and first order diffraction zones to create partitioned zones. A wavefront target is determined based on the partitioned zones and a desired phase shift between the zeroth order diffraction and the first order diffraction.

IPC Classes  ?

  • G03F 1/22 - Masks or mask blanks for imaging by radiation of 100 nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masksPreparation thereof
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/20 - ExposureApparatus therefor
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

62.

METHOD FOR REDUCING THE EFFECTS OF PARASITIC FORCES AND/OR MOMENTS ON THE IMAGING QUALITY OF A PROJECTION-EXPOSURE APPARATUS, AND PROJECTION-EXPOSURE APPARATUS WITH A MODULE

      
Application Number EP2024072462
Publication Number 2025/040459
Status In Force
Filing Date 2024-08-08
Publication Date 2025-02-27
Owner
  • CARL ZEISS SMT GMBH (Germany)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Mettenleiter, Luca
  • Fetzer, Matthias
  • Waimer, Steffen
  • Wijckmans, Maurice Willem Jozef Etiënne
  • Van De Meerendonk, Remco

Abstract

resresresresuwVTxzATxzuwVTxzATxzATxz).

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

63.

HIERARCHICAL ANOMALY DETECTION AND DATA REPRESENTATION METHOD TO IDENTIFY SYSTEM LEVEL DEGRADATION

      
Application Number 18721460
Status Pending
Filing Date 2022-12-14
First Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Koulierakis, Eleftherios
  • Gantapara, Anjan Prasad
  • Khedekar, Satej Subhash
  • Rostami, Hamideh

Abstract

A method for training a diagnostic model for diagnosing a production system, wherein the production system includes a plurality of sub-systems. The diagnostic model includes, for each sub-system, a corresponding first learning model arranged to receive input data, and to generate compressed data for the production system in a corresponding compressed latent space. A second learning model is arranged to receive the compressed data generated by the first learning models, and generate further compressed data for the production system in a further compressed latent space. The method includes performing training of the first and second learning models based on training data derived from sensor data characterizing the sub-systems.

IPC Classes  ?

64.

SERIES OF STACKED CONFOCAL PULSE STRETCHERS FOR SPECKLE REDUCTION

      
Application Number 18933861
Status Pending
Filing Date 2024-10-31
First Publication Date 2025-02-20
Owner Cymer, LLC (USA)
Inventor
  • Mason, Eric Anders
  • Zhao, Zhong Quan
  • Ye, Hong

Abstract

An extended optical pulse stretcher is provided that combines confocal pulse stretchers in combination to produce, for example, 4 reflections, 4 reflections, 12 reflections, and 12 reflections per optical circuit configuration. The inclusion of the combination of different mirror separations and delay path lengths can result in very long pulse stretching, long optical delays, and minimal efficiency losses. Also, in the extended optical pulse stretcher, at least a beam splitter can be positioned relative to the center of curvature of the mirrors to “flatten” each of the circuits to enable the beam to propagate in the same plane (e.g., parallel to the floor). Also, the curvatures and sizes of the individual mirrors can be designed to position the beam splitter closer to one of the banks of mirrors to allow the optical pulse stretchers to properly fit in an allocated location in a laser system.

IPC Classes  ?

  • H01S 3/00 - Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
  • G02B 17/00 - Systems with reflecting surfaces, with or without refracting elements
  • G02B 27/14 - Beam splitting or combining systems operating by reflection only
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • H01S 3/225 - Gases the active gas being polyatomic, i.e. containing two or more atoms comprising an excimer or exciplex

65.

LATENT SPACE SYNCHRONIZATION OF MACHINE LEARNING MODELS FOR IN-DEVICE METROLOGY INFERENCE

      
Application Number 18701570
Status Pending
Filing Date 2022-10-17
First Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Barbieri, Davide
  • Cerfontaine, Pascal

Abstract

Autoencoder models may be used in the field of lithography to estimate, infer or predict a parameter of interest (e.g., metrology metrics). An autoencoder model is trained to predict a parameter by training it with measurement data (e.g., pupil images) of a substrate obtained from a measurement tool (e.g., optical metrology tool). Disclosed are methods and systems for synchronizing two or more autoencoder models for in-device metrology. Synchronizing two autoencoder models may configure the encoders of both autoencoder models to map from different signal spaces (e.g., measurement data obtained from different machines) to the same latent space, and the decoders to map from the same latent space to each autoencoder's respective signal space. Synchronizing may be performed for various purposes, including matching a measurement performance of one tool with another tool, and configuring a model to adapt to measurement process changes (e.g., changes in characteristics of the tool) over time.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

66.

SUBSTRATE COMPRISING A TARGET ARRANGEMENT, ASSOCIATED PATTERNING DEVICE AND METROLOGY METHOD

      
Application Number 18720941
Status Pending
Filing Date 2022-11-28
First Publication Date 2025-02-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Cramer, Hugo Augustinus Joseph
  • Van Den Bos, Karel Hendrik Wouter
  • Garcia Granda, Miguel
  • Van Der Schaar, Maurits
  • Op 'T Root, Wilhelmus Patrick Elisabeth Maria

Abstract

Disclosed is a method of measuring a focus parameter from a focus target. and associated substrate and associated patterning device. The focus target comprises at least a first sub-target and a second sub-target, each having at least a periodic main feature, wherein a respective pitch and/or dimensional parameter of at least some sub-elements of the main feature are configured such that said first sub-target and second sub-target have a respective different best focus value; and wherein each said main feature is formed with a focus dependent center-of-mass and/or pitch. The method comprises obtaining a first measurement signal from said first sub-target and a second measurement signal from said second sub-target; determining a difference signal of said first measurement signal and second measurement signal; and determining said focus parameter from said difference signal.

IPC Classes  ?

  • G03F 1/44 - Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

67.

GENERATING AN ALIGNMENT SIGNAL WITHOUT DEDICATED ALIGNMENT STRUCTURES

      
Application Number 18721405
Status Pending
Filing Date 2022-12-14
First Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Patel, Aabid
  • Adams, Joshua
  • Dixon, Lisa
  • Aarts, Igor Matheus Petronella

Abstract

Generating an alignment signal for alignment of features in a layer of a substrate as part of a semiconductor manufacturing process is described. The present systems and methods can be faster and/or generate more information than typical methods for generating alignment signals because they utilize one or more existing structures in a patterned semiconductor wafer instead of a dedicated alignment structure. A feature (not a dedicated alignment mark) of the patterned semiconductor wafer is continuously scanned, where the scanning includes: continuously irradiating the feature with radiation; and continuously detecting reflected radiation from the feature. The scanning is performed perpendicular to the feature, along one side of the feature, or along both sides of the feature.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G01B 11/27 - Measuring arrangements characterised by the use of optical techniques for measuring angles or tapersMeasuring arrangements characterised by the use of optical techniques for testing the alignment of axes for testing the alignment of axes

68.

LITHOGRAPHIC APPARATUS, ILLUMINATION SYSTEM, AND CONNECTION SEALING DEVICE WITH PROTECTIVE SHIELD

      
Application Number 18724144
Status Pending
Filing Date 2022-11-22
First Publication Date 2025-02-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Ridinger, Armin Bernhard
  • Xu, Muchen

Abstract

A lithographic apparatus includes an illumination system to illuminate a pattern of a patterning device and a projection system to project an image of the pattern onto a substrate. The illumination system includes a first and second enclosures, a scaling device, and a protective device. The first enclosure encloses a first environment and includes a first opening and first connection corresponding to the first opening. The second enclosure includes a second connection structure to couple to the first connection structure to prevent mixing of substances between the first environment and a second environment outside of the first and second enclosures. The sealing device is disposed between the first and second connection structures. The material of the sealing device is chemically reactive to the first environment. The protective device is disposed on the sealing device proximal to the first environment to shield the sealing device from the first environment.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

69.

AN OPTICAL SYSTEM IMPLEMENTED IN A SYSTEM FOR FAST OPTICAL INSPECTION OF TARGETS

      
Application Number 18724286
Status Pending
Filing Date 2022-12-14
First Publication Date 2025-02-20
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Huisman, Simon Reinald
  • Goorden, Sebastianus Adrianus
  • Roux, Stephen

Abstract

A system includes optical devices, reflective devices, a movable reflective device, and a detector. The optical devices are disposed at a first plane and around a axis of the system and receive scattered radiation from targets. The reflective devices are disposed at at least a second plane and around the axis. Each of the reflective devices receives the scattered radiation from a corresponding one of the optical devices. The movable reflective device is disposed along the axis and receives the scattered radiation from each of the reflective devices. The detector receives the scattered radiation from the movable reflective device.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

70.

PROCESS MODEL BASED SCANNING ELECTRON MICROSCOPY (SEM) IMAGE DISTORTION CORRECTION

      
Application Number EP2024069759
Publication Number 2025/036631
Status In Force
Filing Date 2024-07-11
Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fan, Yongfa
  • Ren, Jiaxing
  • Chen, Yi-Yin
  • Yan, Tianyu
  • Zhao, Qian
  • Feng, Mu

Abstract

A method for determining distortion in an image based on a process model, comprising: determining an image transformation operation based on a relationship between a plurality of measurement locations in an image and locations corresponding to the plurality of measurement locations in a predicted image generated by a process model; and characterizing image distortion in the image based on the image transformation operation.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
  • G06T 5/80 - Geometric correction

71.

REMAINING USEFUL LIFETIME ESTIMATION USING MULTIVARIATE SIGNALS

      
Application Number EP2024069992
Publication Number 2025/036636
Status In Force
Filing Date 2024-07-15
Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Adaloudis, Maximiliaan
  • Rostami, Hamideh
  • Van Hertum, Pieter

Abstract

Disclosed is a computer implemented method of predicting a remaining useful lifetime of an apparatus or component thereof comprising: receiving high dimensional data corresponding to an operating parameter of the apparatus or component thereof; transforming the high dimensional data into health indicator data, comprising low dimensional data indicative of a health status of the apparatus or component thereof; determining temporal relations within the health indicator data to obtain health indicator trajectory data; receiving historical maintenance data of the apparatus or component thereof; determining a failure probability of the apparatus or component thereof based at least in part on the historical maintenance data and the health indicator trajectory data, and; predicting the remaining useful lifetime of the apparatus or component thereof based at least in part on the failure probability.

IPC Classes  ?

72.

METHOD AND SYSTEM FOR GENERATING AN OVERLAY-TOLERANT MASK PATTERN DESIGN

      
Application Number EP2024070060
Publication Number 2025/036637
Status In Force
Filing Date 2024-07-15
Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Slachter, Abraham
  • Van Lare, Marie-Claire
  • Van Ingen Schenau, Koenraad
  • Engblom, Peter, David
  • Dhagat, Parul
  • Colina Santamaria, Luis, Alberto
  • Liu, Shih-Hsiang

Abstract

Described a method and system for determining a placement and geometry of a sub-resolution assist feature (SRAF) in an area proximate to a mask pattern to reduce sensitivity to an overlay between portions of the mask pattern on a substrate. The mask pattern corresponds to a target pattern to be printed on the substrate in two adjacent exposure fields. The geometry of the mask pattern is adjusted to reduce the sensitivity to the overlay by generating a first portion of the pattern with varying CD and a second portion of the pattern with varying CD for use in printing the target pattern on the substrate in the two adjacent exposure fields respectively.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction featuresPreparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

73.

LASER-CONTROLLED THERMONUCLEAR FUSION FUELING

      
Application Number EP2024070269
Publication Number 2025/036640
Status In Force
Filing Date 2024-07-17
Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Mahajan, Sunit

Abstract

A system for a thermonuclear fusion reactor having an introducer configured to introduce a fuel pellet into a contained plasma is described. The system comprises one or more lasers for adjusting propulsion of the fuel pellet by laser ablation and a controller configured to operate in conjunction with the introducer and the one or more lasers to controllably propel the fuel pellet towards a target location in the contained plasma.. This contrasts with prior systems (e.g., where a fuel pellet simply follows the magnetic field gradient with the plasma) and may enhance plasma control for improved stability and thermonuclear fusion energy production, modulate a fusion rate and an amount of energy produced by the reactor, adjust plasma currents to alter magnetic fields and produce electricity by magnetic induction, and/or have other advantages alone or in combination with existing systems.

IPC Classes  ?

  • G21B 1/15 - Particle injectors for producing thermonuclear fusion reactions, e.g. pellet injectors
  • G21B 1/23 - Optical systems, e.g. for irradiating targets, for heating plasma or for plasma diagnostics
  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G21B 1/05 - Thermonuclear fusion reactors with magnetic or electric plasma confinement

74.

SYSTEMS AND METHODS FOR HYBRID SAMPLING PLAN GENERATION AND ACCURATE DIE LOSS PROJECTION

      
Application Number EP2024073039
Publication Number 2025/036991
Status In Force
Filing Date 2024-08-15
Publication Date 2025-02-20
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Lin, Chenxi
  • Huang, Ying
  • Song, Ho Young
  • Vikram, Abhishek
  • Wang, Zhihuan
  • Liu, Dan
  • Wang, Fuming
  • Wang, Te-Sheng
  • Moin, Nabeel, Noor

Abstract

Systems, methods, apparatuses, and non-transitory computer readable mediums for generating an inspection tool sampling plan. Systems, methods, apparatuses, and non-transitory computer readable mediums may include generating a static sampling plan to determine a baseline for inspection; generating a dynamic sampling plan to determine excursion events; applying the static sampling plan; and applying the dynamic sampling plan by triggering additional sampling when predicted defect probabilities exceed a threshold in an area of a sample with historically low defect probability.

IPC Classes  ?

  • H01L 21/66 - Testing or measuring during manufacture or treatment
  • G05B 19/18 - Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form

75.

METHOD OF REDUCING CYCLIC ERROR EFFECTS IN A LITHOGRAPHIC PROCESS, PROJECTION SYSTEM AND LITHOGRAPHIC APPARATUS COMPRISING A PROJECTION SYSTEM

      
Application Number 18717684
Status Pending
Filing Date 2022-12-06
First Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Butler, Hans
  • De Jongh, Robertus Johannes Marinus

Abstract

A method of reducing cyclic error effects in a lithographic process having a projection phase and an idle phase, the method including controlling in a first control loop a first position of a first module, the first module being a position controlled mirror of a projection system, the first control loop having a first bandwidth and including a first position measurement system having a first cyclic error, wherein controlling the first position includes continuously moving the first module at least during the projection phase, such that a first main frequency of the first cyclic error will be above the first bandwidth of the first control loop.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

76.

PROCESS WINDOW OPTIMIZER

      
Application Number 18928905
Status Pending
Filing Date 2024-10-28
First Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Hunsche, Stefan
  • Vellanki, Venugopal

Abstract

A defect prediction method for a device manufacturing process involving processing a pattern onto a substrate, the method comprising: identifying a processing window limiting pattern (PWLP) from the pattern; determining a processing parameter under which the PWLP is processed; and determining or predicting, using the processing parameter, existence, probability of existence, a characteristic, or a combination thereof, of a defect produced from the PWLP with the device manufacturing process.

IPC Classes  ?

  • G06F 30/20 - Design optimisation, verification or simulation
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • H01L 21/66 - Testing or measuring during manufacture or treatment

77.

METHOD FOR OPTICAL CALIBRATION

      
Application Number EP2024068148
Publication Number 2025/031666
Status In Force
Filing Date 2024-06-27
Publication Date 2025-02-13
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • CARL ZEISS SMT GMBH (Germany)
Inventor Goudzwaard, Maurits

Abstract

A method of calibrating an illumination system for a lithographic system, the method comprising: applying a known misalignment to the illumination system; obtaining measured data representative of a measured illumination pupil generated by the illumination system; and determining an actual misalignment based on the measured data. The determining an actual misalignment may comprise: obtaining estimated data representative of an estimated illumination pupil generated by the illumination system including the known misalignment and calculating a difference between the estimated data and the measured data, wherein the calculated difference is representative of the actual misalignment.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

78.

PHOTOLITHOGRAPHY OBJECT STAGE AND METHOD FOR FORMING THE SAME

      
Application Number EP2024069760
Publication Number 2025/031724
Status In Force
Filing Date 2024-07-11
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Weichselbaum, Stefan

Abstract

An object stage and method thereof having reduced mass for use in photolithography and comprises a support surface that is configured to support an object, a fin network comprising a plurality of fins that provide rigidity to the support surface, and a coating material provided on at least some of the fins to add stiffness to the fins.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping

79.

STABLE LIGHT SOURCE SYSTEMS AND METHODS

      
Application Number EP2024069761
Publication Number 2025/031725
Status In Force
Filing Date 2024-07-11
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ajgaonkar, Mahesh, Upendra
  • Shome, Krishanu
  • Konthasinghe, Kumarasiri
  • Le, Martin

Abstract

A stable light source is described, which is configured for multi-output spectrally matched and equivalent intensity radiation. The source includes a first splitter configured to receive and split input radiation into first transmissive and reflective portions; second splitters configured to receive and further split each of the first transmissive and reflective portions into second transmissive and reflective portions; and combiners configured to combine the second transmissive and reflective portions into different outputs with mixed transmissive and reflective portions. The mixed transmissive and reflective portions are configured such that outputs together form the spectrally matched and equivalent intensity radiation. This may be used to calibrate highly accurate detectors, for example, and/or for other purposes. An integrating sphere may be included (e.g., instead of and/or in addition to the splitter(s) and combiner(s)).

IPC Classes  ?

  • G02B 27/10 - Beam splitting or combining systems
  • G02B 6/26 - Optical coupling means
  • G02B 27/14 - Beam splitting or combining systems operating by reflection only

80.

METHODS AND SYSTEMS TO REDUCE NON-UNIFORM THERMOMECHANICAL EFFECTS

      
Application Number EP2024070193
Publication Number 2025/031740
Status In Force
Filing Date 2024-07-16
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Subramanian, Raaja Ganapathy
  • Moest, Bearrach
  • Danilin, Alexander, Alexandrovich
  • Bajonero Canonico, Emilio
  • Rademaker, Justin
  • Huddleston, Laura
  • Jansen, Rick

Abstract

A method of reducing non-uniform thermomechanical effects of a reticle in a lithographic process includes defining a non-uniformity map of the reticle, calibrating a reticle heating model based on the non-uniformity map, and reducing a non-uniformity of the reticle based on the calibrated reticle heating model. The non-uniformity map can include a transmission map, a reflectance map, a transparency map, a pattern density map, and/or a reflectivity map of the reticle. The non-uniformity can include a spatially varying absorption profile of the reticle. Advantageously the method can reduce and/or compensate for non-uniform thermomechanical effects of the reticle, account for non-uniform pattern density of the reticle, reduce uncertainties in the lithographic process, increase calibration accuracy and speed of the reticle heating model, avoid rework of substrates, decrease overlay errors, and increase throughput, yield, and accuracy of the lithographic process.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

81.

ALIGNMENT SYSTEM AND LITHOGRAPHIC APPARATUS

      
Application Number EP2024070435
Publication Number 2025/031758
Status In Force
Filing Date 2024-07-18
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Shome, Krishanu
  • Sobolev, Kirill, Urievich
  • Sonde, Aniruddha Ramakrishna
  • Ajgaonkar, Mahesh, Upendra

Abstract

An alignment system includes a radiation source, a self-referencing interferometer, a spatial filter assembly, a measurement device, and a detection system. The radiation source produces one or more illumination beams, directs the one or more illumination beams toward an alignment target on a wafer. The self-referencing interferometer receives one or more diffraction beams and generates an alignment signal including diffraction sub-beams, wherein the diffraction sub-beams are orthogonally polarized, rotated 180 degrees with respect to each other around an alignment axis, and are spatially overlapped. The spatial filter assembly restores a depth of modulation of the alignment signal. The measurement device measures a light intensity measurement of the alignment signal. The detection system determines a position of the alignment target based on the light intensity measurement of the alignment signal.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

82.

FUEL SYSTEM, METHOD OF FUELING, AND LITHOGRAPHIC APPARATUS

      
Application Number EP2024070781
Publication Number 2025/031780
Status In Force
Filing Date 2024-07-22
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Yousefi Moghaddam, Mehdi
  • De Jager, Pieter, Cornelis, Johan
  • Hogervorst, Richard
  • Van Der Aa, Lars, Hendrikus, Aloysius
  • Zandman, Jonathan, Johannes
  • Schout, Twan, Jacobus
  • Van Den Berk, Antonius, Johannes, Gerardus, Maria
  • Van Der Pas, Hans, Sigebert, Peter

Abstract

Disclosed herein is a fuel system for a source of EUV radiation, the fuel system comprising: a container having an internal region for receiving solid fuel; at least one holding pin arranged to hold solid fuel in the internal region spaced apart from walls of the container; a first heating system arranged to heat the walls of the container; and a second heating system arranged to heat each holding pin.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

83.

ENHANCED ALIGNMENT FOR A PHOTOLITHOGRAPHIC APPARATUS

      
Application Number 18721718
Status Pending
Filing Date 2022-12-14
First Publication Date 2025-02-13
Owner ASML Netherlands B,V. (Netherlands)
Inventor
  • Goorden, Sebastianus Adrianus
  • Huisman, Simon Reinald

Abstract

Disclosed is an apparatus for and method of using local alignment position deviation parameters for alignment marks on a semiconductor wafer wherein the parameters are used to generate one or more values indicating a condition of the alignment marks, which values may be used to obtain an wafer grid model having an improved fit.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

84.

MASK PATTERN OPTIMIZATION

      
Application Number EP2024069570
Publication Number 2025/031711
Status In Force
Filing Date 2024-07-10
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kabiri Rahani, Ehsan
  • Peng, Xingyue

Abstract

The present disclosure provides a computer implemented method for determining a mask pattern of a patterning device. The method comprises obtaining a continuous tone mask and a binary mask, from a first image corresponding to a target design associated with the mask pattern, and iteratively optimizing the first image by concurrently adjusting the continuous tone mask and the binary mask.

IPC Classes  ?

  • G03F 1/36 - Masks having proximity correction featuresPreparation thereof, e.g. optical proximity correction [OPC] design processes
  • G03F 1/70 - Adapting basic layout or design of masks to lithographic process requirements, e.g. second iteration correction of mask patterns for imaging
  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor

85.

METHOD AND SYSTEM OF DETERMINING FIELD-DEPENDENT ABERRATIONS

      
Application Number EP2024070249
Publication Number 2025/031741
Status In Force
Filing Date 2024-07-17
Publication Date 2025-02-13
Owner
  • CARL ZEISS SMT GMBH (Germany)
  • ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Boon, Edwin
  • Fuchs, Sebastian
  • Fischbach, Joachim
  • Gruner, Toralf

Abstract

A method of determining field-dependent aberrations in an image field of an optical imaging system based on a measuring operation determining aberration data for a plurality of field points in the image field comprises the following steps: - defining a target grid comprising a plurality of target field points in the image field, wherein a target field point is a field point for which a level of aberration is to be determined; - defining a measuring grid comprising a first subset of the target field points comprising all field points for which a level of aberration is to be determined in a measuring operation; - measuring aberrations at all field points of the measuring grid to generate measured aberration data; - defining an auxiliary grid comprising a second subset of the target field points comprising selected target field points not included in the measuring grid for which a level of aberration is to be determined in an aberration data generating operation, wherein the aberration data generating operation is configured to generate aberration data for target field points of the auxiliary grit based on (iii) knowledge of the optical imaging system and (iv) potential system-specific sources of aberrations.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G01M 11/02 - Testing optical properties

86.

CHARGED PARTICLE DETECTION SYSTEM

      
Application Number EP2024071067
Publication Number 2025/031808
Status In Force
Filing Date 2024-07-24
Publication Date 2025-02-13
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Goosen, Maikel, Robert
  • Van Weperen, Ilse
  • Mangnus, Albertus, Victor, Gerardus

Abstract

A charged-particle beam apparatus configured to direct a charged-particle beam onto a sample, the charged-particle beam apparatus comprising a detection system which comprises: a first detection surface configured to generate electrical signals in response to signal particles generated by the sample in response to the charged-particle beam; and a second detection surface configured to generate electrical signals in response to incident secondary particles or backscattered particles generated by the first detection surface in response to the signal particles, the second detection surface defining a second hole to enable the signal particles to pass to the first detection surface.

IPC Classes  ?

  • H01J 37/244 - DetectorsAssociated components or circuits therefor
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

87.

HMI eP5

      
Application Number 019141348
Status Pending
Filing Date 2025-02-11
Owner ASML Netherlands B.V. (Netherlands)
NICE Classes  ? 09 - Scientific and electric apparatus and instruments

Goods & Services

Computer software for use in processing semiconductor wafers; computer software, recorded; semi-conductor memories; detectors; precision measuring apparatus; electronic measuring apparatus; tool measuring instruments; semiconductor testing apparatus; probes for testing semiconductors; semiconductor testing machines; measuring devices, electric; vacuum tube characteristic testers; circuit testers; lasers for measuring purposes; electron capture detectors; semiconductors; electronic semi-conductors; semiconductor wafers; semiconductor chips; photo-semiconductors; optical sensors; optical fibres [light conducting filaments]; semi-conductor devices; electron-beam tube; waveguides for high-energy electron beam delivery; waveguides for high power beam delivery; measuring sensors..

88.

OVERLAY METROLOGY BASED ON TEMPLATE MATCHING WITH ADAPTIVE WEIGHTING

      
Application Number 18714547
Status Pending
Filing Date 2022-12-13
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Fu, Jiyou
  • Su, Jing
  • Lin, Chenxi
  • Liang, Jiao
  • Chen, Guangqing
  • Zou, Yi

Abstract

A method of image template matching for multiple process layers of, for example, semiconductor substrate with an adaptive weight map is described. An image template is provided with a weight map, which is adaptively updated based during template matching based on the position of the image template on the image. A method of template matching a grouped pattern or artifacts in a composed template is described, wherein the pattern comprises deemphasized areas weighted less than the image templates. A method of generating an image template based on a synthetic image is described. The synthetic image can be generated based on process and image modeling. A method of selecting a grouped pattern or artifacts and generating a composed template is described. A method of per layer image template matching is described.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
  • G06T 7/00 - Image analysis
  • G06T 7/33 - Determination of transform parameters for the alignment of images, i.e. image registration using feature-based methods
  • H01L 21/66 - Testing or measuring during manufacture or treatment

89.

PROJECTION UNIT FOR A LEVEL SENSOR, METHOD OF MONITORING HEIGHT OF A SUBSTRATE, AND LITHOGRAPHIC SYSTEM COMPRISING THE PROJECTION UNIT

      
Application Number 18717286
Status Pending
Filing Date 2022-12-05
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Cunbul, Ahmet Burak
  • Zijp, Ferry
  • Tukker, Teunis Willem
  • Dendas, Peter Fernand William Jozef
  • Van Gessel, Abraham Franciscus Hubertus

Abstract

A projection unit for a level sensor, the projection unit including: a first light pipe having a first inlet configured to receive radiation from a source and a first outlet; and a second light pipe having a second inlet configured to receive the radiation from the first light pipe and a second outlet. The unit may include a lens device configured to receive radiation from the second outlet and to output radiation having a predetermined distribution of intensity and irradiance.

IPC Classes  ?

  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

90.

METHOD OF PATTERNING A TARGET LAYER, APPARATUS FOR PATTERNING A TARGET LAYER

      
Application Number 18718240
Status Pending
Filing Date 2022-12-01
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Venugopalan, Syam Parayil
  • Faraz, Tahsin

Abstract

Methods and apparatus are disclosed for patterning a target layer by selectively removing material. In one arrangement, the target layer is irradiated with a patterned beam. The patterned beam generates a plasma in a plasma pattern that locally interacts with the target layer to define where material is to be removed from the target layer. A bias voltage is applied to the substrate during the irradiation to control a distribution of energies of ions of the plasma impinging on the target layer.

IPC Classes  ?

  • H01J 37/32 - Gas-filled discharge tubes
  • H01L 21/3065 - Plasma etchingReactive-ion etching
  • H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
  • H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components

91.

METHOD AND APPARATUS TO DETERMINE OVERLAY

      
Application Number 18718306
Status Pending
Filing Date 2022-11-22
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Van Mierlo, Willem Louis

Abstract

Systems, methods, and media for determining a processing parameter associated with a lithography process. In some embodiments, image data of features on a substrate may be obtained, and the image data may be analyzed in Fourier space. Based on the analysis, an amplitude and a phase may be determined, and an overlay of the features may be determined based on the amplitude and the phase.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G06T 7/00 - Image analysis

92.

LITHOGRAPHIC PERFORMANCE QUALIFICATION AND ASSOCIATED APPARATUSES

      
Application Number 18723849
Status Pending
Filing Date 2022-12-15
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Tarau, Alina Nicoleta
  • De Korte, Robert Bastiaan Clark

Abstract

A method of performing a lithographic performance qualification test. The method includes: obtaining one or more exposure layouts, each relating to exposure of multiple exposure fields on a substrate; performing a dummy exposure on a substrate including photoresist for each of the one or more exposure layouts, the dummy exposure using no exposure illumination or exposure illumination having an exposure energy below an exposure threshold of the photoresist; monitoring one or more exposure parameters of each dummy exposure to obtain exposure parameter data; and evaluating lithographic performance of each dummy exposure and/its corresponding exposure layout from the exposure parameter data respective to that dummy exposure.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  • G03F 9/00 - Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically

93.

MANUFACTURING A HOLLOW CORE PHOTONIC CRYSTAL FIBER

      
Application Number EP2024068669
Publication Number 2025/026634
Status In Force
Filing Date 2024-07-03
Publication Date 2025-02-06
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • MAX-PLANCK-GESELLSCHAFT ZUR FÖRDERUNG DER WISSENSCHAFTEN E.V (Germany)
Inventor
  • Lagler, Josef
  • Staab, Gordon
  • Bergler, Michael, Sebastian

Abstract

Disclosed is a method of manufacturing an optical fiber, the method comprising: providing a fiber manufacturing intermediate product, the fiber manufacturing intermediate product comprising: (i) a hollow core cane comprising a first jacket with a hollow inner structure, wherein a plurality of capillaries are fused to the first jacket within the hollow inner structure; and (ii) a second jacket around the hollow core cane; roughening an outer surface of the second jacket over a portion (310) of the second jacket; coupling an end of the fiber manufacturing intermediate product to a pressure connector (402); and drawing a hollow core photonic crystal fiber from the fiber manufacturing intermediate product.

IPC Classes  ?

  • C03B 37/027 - Fibres composed of different sorts of glass, e.g. fibre optics
  • C03B 37/012 - Manufacture of preforms for drawing fibres or filaments

94.

AN ELEVATION PIN ASSEMBLY FOR LOADING/UNLOADING A SUBSTRATE, OBJECT TABLE, LITHOGRAPHIC APPARATUS

      
Application Number EP2024068955
Publication Number 2025/026649
Status In Force
Filing Date 2024-07-04
Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Kramer, Gijs
  • Van De Ven, Stephanus, Cornelis, Leonardus
  • Van Der Aalst, Henricus, Johannes, Cornelis
  • Van Der Maaden, Martijn

Abstract

The invention provides an elevation pin assembly for loading/unloading a substrate, the assembly comprising: - a plurality of support pins, the plurality of support pins being higher in number than 3; - an actuator assembly configured to cause a displacement of the plurality of support pins, and - a control unit configured to control the displacement of the plurality of pins to pre-shape the substrate while loading/unloading the substrate.

IPC Classes  ?

  • G03F 7/20 - ExposureApparatus therefor
  • H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

95.

INSPECTION APPARATUS, LINEARLY MOVABLE BEAM DISPLACER, AND METHOD

      
Application Number 18843138
Status Pending
Filing Date 2023-02-17
First Publication Date 2025-02-06
Owner ASML Netherlands B.V. (Netherlands)
Inventor
  • Cappelli, Douglas C.
  • Zijp, Ferry
  • Lomans, Bram Antonius Gerardus
  • Van De Ven, Bastiaan Lambertus Wilhelmus Marinus

Abstract

An inspection apparatus includes a radiation source, an optical system, and a detector. The radiation source is configured to generate a beam of radiation. The optical system is configured to receive and direct the beam along an optical axis and toward a target so as to produce scattered radiation from the target. The optical system includes a beam displacer. The beam displacer includes two or more reflective surfaces. The beam displacer is configured to receive the beam along the optical axis, perform reflections of the beam so as to displace the optical axis of the beam, move linearly in at least a first dimension to shift the displaced optical axis, and preserve an optical property of the beam such that the optical property is invariant to the linear movement. The detector is configured to receive the scattered radiation and to generate a measurement signal based on the scattered radiation.

IPC Classes  ?

  • G01N 21/88 - Investigating the presence of flaws, defects or contamination
  • G01N 21/95 - Investigating the presence of flaws, defects or contamination characterised by the material or shape of the object to be examined
  • G01N 21/956 - Inspecting patterns on the surface of objects
  • G02B 7/18 - Mountings, adjusting means, or light-tight connections, for optical elements for prismsMountings, adjusting means, or light-tight connections, for optical elements for mirrors
  • G02B 7/182 - Mountings, adjusting means, or light-tight connections, for optical elements for prismsMountings, adjusting means, or light-tight connections, for optical elements for mirrors for mirrors

96.

CHARGED PARTICLE OPTICAL DEVICE AND METHOD

      
Application Number 18920167
Status Pending
Filing Date 2024-10-18
First Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor Smorenburg, Petrus Wilhelmus

Abstract

The embodiments of the present disclosure provide a charged particle optical device for projecting charged particle beams towards a sample position, arranged in a grid. The device comprises: a beam limiting aperture array and strip arrays. In the beam limiting aperture array is defined a plurality of apertures so as to generate the grid of beams. The strip arrays are positioned along beam paths. The strip arrays extend across the path of the plurality of beams to operate on the charged particles that pass along the path between strips of the respective strip array to collimate the path of the beams. The orientation of the strips in the array of two different arrays along the beam path are different. The beam limiting aperture array, the strip arrays or both are configured to mitigate a characteristic of the grid that is induced by passage of the beams through the strip arrays.

IPC Classes  ?

  • H01J 37/317 - Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. ion implantation
  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/153 - Electron-optical or ion-optical arrangements for the correction of image defects, e.g. stigmators
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

97.

HIGH-SPEED HIGH-VOLTAGE FULLY-DIFFERENTIAL POWER AMPLIFIER FOR BEAM DEFLECTION DRIVER

      
Application Number EP2024068782
Publication Number 2025/026641
Status In Force
Filing Date 2024-07-03
Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Ma, Jie
  • Chien, Kuo-Chin

Abstract

The present disclosure provides a deflector driver for driving a deflector of a charged-particle inspection apparatus. The deflector driver may comprise a fully-differential amplifier that is configured to generate fully-differential outputs of which absolute value is greater than 100V. Wherein the fully-differential outputs may enable a plurality of deflector electrodes of the deflector to influence a charged particle beam of the charged-particle inspection apparatus based on the fully-differential outputs.

IPC Classes  ?

  • H01J 37/147 - Arrangements for directing or deflecting the discharge along a desired path
  • H01J 37/28 - Electron or ion microscopesElectron- or ion-diffraction tubes with scanning beams

98.

A METHOD OF MANUFACTURING A PREFORM FOR A HOLLOW-CORE PHOTONIC CRYSTAL FIBER

      
Application Number EP2024068815
Publication Number 2025/026642
Status In Force
Filing Date 2024-07-04
Publication Date 2025-02-06
Owner
  • ASML NETHERLANDS B.V. (Netherlands)
  • MAX-PLANCK-GESELLSCHAFT ZUR FÖRDERUNG DER WISSENSCHAFTEN E.V (Germany)
Inventor
  • Lagler, Josef
  • Bergler, Michael, Sebastian
  • Uebel, Patrick, Sebastian

Abstract

A method of manufacturing a preform for use in the manufacturing process of a hollow-core photonic crystal fiber, the method comprising: (i) providing an elongated preform jacket with a hollow inner structure, the elongated preform jacket having a first and second end; (ii) inserting a hollow capillary preform into the hollow inner structure such that the hollow capillary preform is in contact with the hollow inner structure at a contact position and protrudes out of the hollow inner structure at the first end and at the second end; (iii) at the first end, locally heating a protruding portion of the hollow capillary preform; (iv) bending the protruding portion around the first end of the preform jacket; and (v) applying additional heat to a portion of the hollow capillary preform that is bent around the elongated preform jacket to fuse it to an outer surface of the elongated preform jacket.

IPC Classes  ?

  • C03B 37/012 - Manufacture of preforms for drawing fibres or filaments
  • C03B 37/027 - Fibres composed of different sorts of glass, e.g. fibre optics
  • C03B 23/06 - Re-forming tubes or rods by bending
  • C03B 23/207 - Uniting glass rods, glass tubes, or hollow glassware

99.

A MODULE FOR A LASER-PRODUCED PLASMA RADIATION SOURCE

      
Application Number EP2024068873
Publication Number 2025/026646
Status In Force
Filing Date 2024-07-04
Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Conklin, Philip M.
  • Stinson, Cory, Alan
  • Tao, Yezheng

Abstract

A module for a laser-produced plasma radiation source includes: first optics; second optics; and a reverse beam monitoring apparatus. The first optics are arranged to receive a first radiation beam having a first wavelength and a second radiation beam having a second wavelength and to direct the first and second radiation beams so as to form a combined radiation beam propagating along a common optical path toward the second optics. The second optics includes a polarizing beam splitter. The second optics are arranged to receive the combined radiation beam and to direct at least a first portion of the combined radiation beam towards a target region. The second optics are further arranged to receive a reflected portion of the first portion of the combined radiation beam and to direct the reflected portion towards the reverse beam monitoring apparatus. Associated methods are also disclosed.

IPC Classes  ?

  • H05G 2/00 - Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma

100.

SUPERCONDUCTING COILS ASSEMBLY AND ACTUATOR SYSTEM

      
Application Number EP2024068993
Publication Number 2025/026651
Status In Force
Filing Date 2024-07-05
Publication Date 2025-02-06
Owner ASML NETHERLANDS B.V. (Netherlands)
Inventor
  • Donders, Sjoerd, Nicolaas, Lambertus
  • Sahin, Buket
  • Hemschoote, Dries, Vaast, Paul
  • Levharkar, Abhijeet

Abstract

A lithographic apparatus including: a patterning device; a first positioner to position the patterning device support; a substrate support; a second positioner to position the substrate support; and a projection system configured to project a radiation beam from a patterning device onto a substrate, wherein the patterning device support, the first positioner, the substrate support, and/or the second positioner has, during use, a plurality of superconductive coils that are configured to generate a magnetic field, wherein the plurality of superconductive coils are grouped in a plurality of separable and discrete modules which, when assembled, form the assembly, each module including a subset of one or more coils of the plurality of coils and including an electrical interface to provide electricity to the subset of one or more coils and a cooling interface to provide cooling for the superconductivity of the subset of one or more coils.

IPC Classes  ?

  • G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
  1     2     3     ...     80        Next Page