The present disclosure relates to high selectivity doped hardmask films, as well as methods of providing and using such films. In particular examples, the high selectivity doped hardmask film can be employed as a hardmask, an intermediate layer, or a coverage layer.
H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
C23C 16/06 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
C23C 16/505 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
Systems and device for removing edge bead accumulated on an edge of a wafer includes a first electrode disposed in a center of a nozzle used within a process chamber and a second electrode embedded within a dielectric material that surrounds the first electrode. A first channel is defined between the first electrode and the dielectric material and is used to receive a first gas from a first gas source. A second channel is defined between the dielectric material and an outer wall of the nozzle and is used to receive a second gas. RF power source is coupled to the nozzle so as to provide RF power to the electrodes to generate plasma radicals of the first gas. An opening at a bottom of the nozzle is used to provide pressurized flow of plasma radicals toward an edge of the wafer positioned below the nozzle.
In one embodiment, the disclosed apparatus is an in-situ, closed-loop bubble and foam detection and reduction system that includes a liquid-level sensor to determine a volume of a liquid in a fluid reservoir, a mass-detection device to determine a mass of the fluid reservoir and any liquid contained within the fluid reservoir, a processor electrically coupled to the liquid-level sensor and the mass-detection device to determine an actual volume of the liquid within the fluid reservoir, and a showerhead coupled to the processor and positioned above the fluid reservoir. The showerhead is activated by the processor when a volume of the liquid determined by the liquid-level sensor exceeds the actual volume of the liquid by a predetermined amount. Other apparatuses and methods are disclosed.
G05B 19/416 - Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control of velocity, acceleration or deceleration
Provided herein are methods of depositing tungsten (W) films without depositing a nucleation layer. In certain embodiments, the methods involve depositing a conformal reducing agent layer of boron (B) and/or silicon (Si) on a substrate. The substrate generally includes a feature to be filled with tungsten with the reducing agent layer conformal to the topography of the substrate including the feature. The reducing agent layer is then exposed to a fluorine-containing tungsten precursor, which is reduced by the reducing agent layer to form a layer of elemental tungsten. The conformal reducing agent layer is converted to a conformal tungsten layer.
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
C23C 16/08 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
5.
METHODS AND FORMULATIONS FOR SACRIFICIAL BRACING, SURFACE PROTECTION, AND QUEUE-TIME MANAGEMENT USING STIMULUS RESPONSIVE POLYMERS
Methods of bracing high aspect ratio (HAR) structures include coating the HAR structures with stimulus responsive polymers (SRP) films followed by baking the SRP films at a temperature above the glass transition temperature (Tg) of the SRP film and below the degradation temperature of the SRP. In some embodiments, the SRP film includes a plasticizer.
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
B05D 1/00 - Processes for applying liquids or other fluent materials
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
Disclosed herein is a method comprising performing a chamber clean operation after a film deposition process, acquiring, a set of radio frequency (RF) sensor measurements during the chamber clean operation. The method includes processing the set of RF sensor measurements to generate a set of RF sensor measurement-time data. and computing a first time-derivative of the RF sensor measurement-time data. The method further includes determining an endpoint of the chamber clean operation from the first time-derivative of the RF sensor measurement-time data. The method further includes correlating the endpoint of the chamber clean operation to a deposition rate.
A bottom ring is configured to support a moveable edge ring that is configured to be raised and lowered relative to a substrate support. The bottom ring includes a ring body including an upper surface and a lower surface and includes a plurality of vertical guide channels configured to receive a plurality of lift pins, respectively, for raising and lowering the moveable edge ring. Each of the plurality of vertical guide channels extends from the lower surface to the upper surface. The plurality of vertical guide channels include a first diameter adjacent to the upper surface and a second diameter, greater than the first diameter, adjacent to the lower surface. A lip extends radially outwardly from the ring body adjacent to the upper surface
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
8.
TRANSFORMER COUPLED PLASMA SOURCE DESIGN FOR THIN DIELECTRIC FILM DEPOSITION
An apparatus, comprising: a process chamber, wherein the process chamber comprises: a window, wherein the window comprises a dielectric material that is transmissive to radio frequency (RF) energy, wherein the window has a first side and a second side opposite the first side; a collar assembly having an aperture covered by the window, wherein the collar assembly supports the first side of the window; and one or more RF coils positioned above the second side of the window, wherein, when viewed along a first axis perpendicular to the window, a radial distance between an outermost portion of the one or more RF coils and an innermost portion of an electrically conductive portion of the collar assembly that intersects with a first reference plane that is perpendicular to the first axis and between the first side of the window and the one or more RF coils is greater than or equal to 40 mm.
In accordance with some embodiments, methods, systems, and media for using synthetic images are provided. In some embodiments, a method involves obtaining a set of images associated with the integrated circuit fabrication chamber, wherein the set of images comprises at least a subset of synthetic images. Each synthetic image of the subset of synthetic images is a photo-realistic synthetic image. In some embodiments, at least a portion of the synthetic images represent an anomalous condition associated with the fabrication chamber, and each image in the set of images represents at least one of: 1) components of the fabrication chamber; 2) a process occurring in the fabrication chamber; or 3) wafer characteristics of a wafer being processed in the fabrication chamber. The method may further involve training a machine learning model using the set of images, wherein the trained machine learning model is usable to predict the anomalous condition.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
Apparatus for processing a wafer, comprising: a support for supporting a wafer; a first dispensing nozzle connected to a source of a liquid via a first flow path; a second dispensing nozzle connected to the source of the liquid via a second flow path; a first valve in the first flow path; and a second valve in the second flow path; wherein the first dispensing nozzle and the second dispensing nozzle are configured to be positioned over a surface of a wafer supported by the support, for dispensing the liquid onto the surface of the wafer, with a distance between the first dispensing nozzle and the second dispensing nozzle of 65 mm or more.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
11.
APPARATUSES FOR RADIATIVE HEATING OF AN EDGE REGION OF A SEMICONDUCTOR WAFER
Provided herein are various apparatuses and systems for providing edge heating of semiconductor wafers using optical means. Such systems may direct radiant energy towards the edge region of a semiconductor wafer.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
Methods and apparatuses for etching materials using a boron trichloride during atomic layer etching are provided. The method comprises providing a wafer to a processing chamber, the wafer having an oxygen-containing material, exposing the oxygen-containing material to a halogen-containing gas to form a modified oxygen-containing layer on a surface of the wafer, and exposing the modified oxygen-containing layer to the boron trichloride to remove the modified layer from the surface of the wafer.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
13.
CIRCULATION OF NON-PFAS FLUIDS IN LIQUID AND SUPERCRITICAL FLUID STATES THROUGH SUBSTRATE PROCESSING SYSTEM COMPONENTS
A substrate processing system to process a substrate includes a component, a cooling circuit, and at least one controller. The component includes one or more coolant channels. The cooling circuit is configured to circulate a non-PFAS fluid through the one or more coolant channels to cool the first component. The non-PFAS fluid is at least one of i) absent a polyfluoroalkyl substance and absent a perfluoroalkyl substance, and ii) absent a carbon-fluoride bond. The at least one controller is configured to adjust at least one parameter of the non-PFAS fluid to maintain the non-PFAS fluid in at least one of a liquid state, a vapor state, and a supercritical fluid state during processing of the substrate.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
F25D 17/02 - Arrangements for circulating cooling fluidsArrangements for circulating gas, e.g. air, within refrigerated spaces for circulating liquids, e.g. brine
F25D 29/00 - Arrangement or mounting of control or safety devices
Systems and techniques for determining and using multiple types of offsets for providing wafers to a transfer pedestal of a multi-station processing chamber are disclosed. Such techniques may be used to provide pedestal-specific offsets that may be selected based on which pedestal of a multi-station chamber is assigned to a particular wafer. Similar techniques may be used to provide wafer support-specific offsets based on which indexer arm of an indexer is assigned to a given wafer.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/677 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for conveying, e.g. between different work stations
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
15.
ELECTROCHEMICAL DEPOSITION SYSTEM INCLUDING OPTICAL PROBES
An electrochemical deposition system includes: an electrochemical deposition chamber including an electrolyte for electrochemical deposition; a substrate holder configured to hold a substrate and including a first cathode that is electrically connected to the substrate; a first actuator configured to adjust a vertical position of the substrate holder within the electrochemical deposition chamber; an anode submerged in the electrolyte; a second cathode arranged between the first cathode and the anode; a first optical probe configured to measure a first reflectivity of the substrate at a first distance from a center of the substrate while the substrate is submerged within the electrolyte during the electrochemical deposition; and a controller configured to, based on the first reflectivity, selectively adjust at least one of power applied to the first cathode, power applied to the second cathode, power applied to the anode, and the vertical position of the substrate holder.
Systems and methods for compressing data are described. One of the methods includes receiving a plurality of measurement signals from one or more sensors coupled to a radio frequency (RF) transmission path of a plasma tool. The RF transmission path is from an output of an RF generator to an electrode of a plasma chamber. The method includes converting the plurality of measurement signals from an analog form to a digital form to sample data and processing the data to reduce an amount of the data. The amount of the data is compressed to output compressed data. The method includes sending the compressed data to a controller for controlling the plasma tool.
A substrate processing apparatus includes a processing chamber and a rotating mechanism. The rotating mechanism is configured to rotate about a center axis of a shaft, where the shaft includes a flow path configured to flow gases through the shaft.
A gas separator device includes a first chamber including a first inlet to receive a gas mixture comprising first and second gases, and a first outlet. First and second Peltier devices are mounted to the first chamber to cool the first chamber to a first temperature. A second chamber is connected to the first chamber and includes a second outlet. Third and fourth Peltier devices are mounted to the first and second Peltier devices, respectively, and to the second chamber to cool the second chamber to a second temperature. The Peltier devices are configured to condense the second gas in the gas mixture in the first chamber and output the first gas via the first outlet. The Peltier devices are configured to transform the condensed second gas received from the first chamber in the second chamber into the second gas and output the second gas via the second outlet.
A showerhead includes a plate and a baffle. The plate includes a first surface and an opening at a center of the first surface. The baffle is disposed in the opening of the plate. The baffle extends into the plate through the opening. The baffle includes a second surface that lies in a plane parallel to the first surface of the plate and includes a first set of holes and a second set of holes in the second surface. The first set of holes and the second set of holes are not in fluid communication with each other. The baffle includes a connecting plate to connect the baffle to the showerhead, a stem extending from the connecting plate, and a gas delivery plate extending from the stem and including the first and second sets of holes to deliver one more gases.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
Semiconductor manufacturing machines; semiconductor
substrates manufacturing machines; semiconductor wafer
processing equipment; semiconductor wafer processing
machines; replacement parts and fittings for all of the
aforementioned goods; industrial robots; industrial robots
in the field of semiconductor manufacturing; robotic arms
for semiconductor manufacturing; robots for the maintenance
and operation of semiconductor manufacturing machines,
semiconductor substrates manufacturing machines, and
semiconductor wafer processing machines; replacement parts
and fittings for all of the aforementioned goods.
21.
RADIO FREQUENCY POWER GENERATOR HAVING MULTIPLE OUTPUT PORTS
A radio frequency (RF) power generator adapted for coupling to a multi-station integrated circuit fabrication chamber may include an oscillator to provide a periodic signal and one or more preamplifiers each having an input port to receive a signal from the oscillator and having an output port to provide an amplified signal. The RF generator may additionally include one or more constant-gain amplifiers, each having an input port to receive a signal from the one or more preamplifiers, and an output port configured for coupling an amplified signal to an electrode for generating a plasma in an assigned station of the multi-station integrated circuit fabrication chamber.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H03G 3/30 - Automatic control in amplifiers having semiconductor devices
22.
RF TUNING SYSTEMS INCLUDING TUNING CIRCUITS HAVING IMPEDANCES FOR SETTING AND ADJUSTING PARAMETERS OF ELECTRODES IN ELECTROSTATIC CHUCKS
A substrate processing system for processing a substrate within a processing chamber is provided and includes a source terminal, a substrate support, and a tuning circuit. The substrate support holds the substrate and includes first and second electrodes, which receive power from a power source via the source terminal. The tuning circuit is connected to the first electrode or the second electrode. The tuning circuit is allocated for tuning signals provided to the first electrode. The tuning circuit includes at least one of a first impedance set or a second impedance set. The first impedance set is serially connected between the first electrode and the power source and receives a first signal from the power source via the source terminal. The second impedance set is connected between an output of the power source and a reference terminal and receives the first signal from the power source via the source terminal.
Various showerheads and methods are provided. A showerhead may include a faceplate partially defined by a front surface and a back surface, a back plate having a gas inlet, a first conical frustum surface, and a second conical frustum surface, a plenum volume fluidically connected to the gas inlet and at least partially defined by the gas inlet, the back surface of the faceplate, the first conical frustum surface, and the second conical frustum surface, and a baffle plate positioned within the plenum volume, and having a plurality of baffle plate through-holes extending through the baffle plate. The second conical frustum surface may be positioned radially outwards from the first conical frustum surface with respect to a center axis of the showerhead, and the second conical frustum surface may be positioned along the center axis farther from the gas inlet than the first conical frustum surface.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/06 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layersAfter-treatment of these layers
Systems and methods for central frequency tuning are described. One of the methods includes receiving a voltage signal from an output of a match coupled to a low frequency (LF) radio frequency (RF) generator and a high frequency (HF) RF generator. The method further includes dividing the voltage signal into a plurality of bins for each cycle of an LF RF signal generated by the LF RF generator. The method also includes identifying a first bin from the plurality of bins during which a zero crossing occurs, accessing measurements of a parameter for occurrences of a pre-determined number of the plurality of bins, and calculating a frequency of operation of the HF RF generator for the first bin based on the measurements of the parameter. The method includes controlling the HF RF generator to operate at the frequency of operation during an occurrence of the first bin.
Examples are disclosed that relate to etching features in a layer of silicon oxide doped with an etch rate-modifying dopant. One example provides a method of performing a memory device fabrication process. The method comprises placing a substrate in a processing chamber of a processing tool, the substrate comprising a first structure comprising alternating layers in a mold stack for a 3D memory structure, and the substrate also comprising a second structure comprising a silicon oxide layer doped with an etch rate-modifying dopant. The method further comprises controlling the processing tool to perform an etching cycle comprising etching at least a portion of a channel hole in the first structure of the substrate and at least a portion of a hole in the second structure of the substrate.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H10B 41/20 - Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
H10B 43/20 - EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
A valve of a substrate processing system includes a throttle plate configured to adjust gas flow through a gas line. An outer actuator is arranged outside of the gas line. An inner actuator is arranged inside of the gas line and connected to the throttle plate. The outer actuator is magnetically coupled to the inner actuator. Movement the outer actuator causes movement of the inner actuator relative to the gas line to adjust a position of the throttle plate.
F16K 31/08 - Operating meansReleasing devices electricOperating meansReleasing devices magnetic using a magnet using a permanent magnet
F16K 1/22 - Lift valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
27.
SELECTIVE REMOVAL OF REDEPOSITED CARBON MASKS DURING ETCH
A method of etching recessed features in a stack below a patterned carbon containing mask forming mask features is provided. The stack is partially etched, wherein the partially etching the stack redeposits sputtered mask to form mask sputter deposition. At least some of the mask sputter deposition is removed, comprising providing a removing gas comprising an oxygen containing component, transforming the removing gas into a plasma, and removing some of the mask sputter deposition with the plasma. The stack is further etched.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
Cooling plates are described that include aperiodic organic reticulated patterns of cooling passage segments that provide more even and more effective cooling performance than in cooling plates that feature more regular geometric patterns of cooling passage segments.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
C23C 16/46 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
29.
SELECTIVITY IN THERMAL ETCH PROCESSES THROUGH SURFACE PASSIVATION
a method for processing a semiconductor substrate having a first exposed surface and a second exposed surface, the method comprising: exposing the semiconductor substrate to an additive gas; exposing the semiconductor substrate to a modification gas to modify at least a portion of the first exposed surface to form a modified surfaces; and after the modified surface has formed, exposing the modified surface to a removal gas to selectively remove the modified surface in a plasma-free environment without etching the second exposed surface, wherein the first exposed surface comprises material selected from the group consisting of metal oxides, metal nitrides, silicon oxides, silicon, and metal-containing material, wherein the second exposed surface comprises material selected from the group consisting of metal oxides, metal nitrides, silicon oxides, silicon, and metal-containing materials, and wherein the first exposed surface and the second exposed surface have different compositions.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
In joining composite ceramic bodies, at least one ceramic body is a compositionally graded with varying concentrations between two or more ceramic materials. The compositionally graded ceramic body terminates at an interfacial layer that is substantially composed of a single ceramic material. The compositionally graded ceramic body is joined to another ceramic body that may also be compositionally graded or made of a single ceramic material, and an interfacial layer of the other ceramic body is identical in composition with the interfacial layer of the compositionally graded ceramic body. In some embodiments, the ceramic bodies may be joined by diffusion bonding. In some embodiments, the ceramic bodies include a ceramic platen and ceramic stem of a wafer pedestal implemented in a plasma processing apparatus.
C04B 37/00 - Joining burned ceramic articles with other burned ceramic articles or other articles by heating
C04B 35/10 - Shaped ceramic products characterised by their compositionCeramic compositionsProcessing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxides based on aluminium oxide
C04B 35/581 - Shaped ceramic products characterised by their compositionCeramic compositionsProcessing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxides based on borides, nitrides or silicides based on aluminium nitride
C04B 35/626 - Preparing or treating the powders individually or as batches
Semiconductor processing tools with wafer back-side processing capabilities are disclosed. Such tools may be configured to only contact wafers being processed through edge contact, as opposed to underside/planar contact. Such tools may also include wafer-centering features that may allow such wafers to be precisely centered with regard to a particular wafer processing station thereof.
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
32.
SELECTIVE ETCH OF STACK BELOW METAL MASK USING OXYGEN AND FLUORINE
A method of etching recessed features in a silicon containing stack below a metal containing mask is provided. An etch gas comprising oxygen and fluorine is provided. The etch gas is transformed into a plasma. The stack is exposed to the plasma for selectively etching the recessed features in the stack with respect to the metal containing mask.
Semiconductor manufacturing machines; Semiconductor substrates manufacturing machines; Semiconductor wafer processing equipment; Semiconductor wafer processing machines; replacement parts and fittings for all of the aforementioned goods; Machine parts, namely, ion shields inside etch chambers sold as an integral part of semiconductor manufacturing machines; semiconductor manufacturing machine parts, namely, ion shields; semiconductor manufacturing machine parts, namely etch chambers embedded with ion shields; machine parts, namely, ion shields sold as an integral part of semiconductor manufacturing machines; replacement parts for semiconductor manufacturing machines, namely, ion shields
A process chamber and method of modulating thin film growth on a wafer using a plasma-enhanced chemical vapor deposition (PECVD) process is described. During a first deposition phase, a first portion of a film is disposed on a wafer on a pedestal in a process chamber. During a second deposition phase, a second portion of the film is deposited on the wafer. The wafer is unclamped from the pedestal prior to the first and/or second deposition phase and remains unclamped during the first and/or second deposition phase. The wafer has a non-zero wafer bow during unclamped deposition phase to provide a radially non-uniform thickness profile of the film on the wafer.
Systems and methods for controlling power output from a matchless plasma source are described. One of the methods includes applying a direct current (DC) voltage across a half-bridge circuit, generating a digital pulsed signal having a plurality of pulses to provide to a gate driver of the matchless plasma source, and regulating output power of the matchless plasma source by controlling a duty cycle of the digital pulsed signal.
A precursor delivery apparatus includes a frame with a plurality of openings, a container with a precursor, and a plurality of interchangeable side panels. The container is mounted within an internal volume formed by the frame. Each of the plurality of interchangeable side panels is removably secured to the frame to cover a corresponding opening of the plurality of openings. At least one of the plurality of interchangeable side panels is configurable based on the precursor type associated with the precursor.
C23C 16/448 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/52 - Controlling or regulating the coating process
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
One example provides a method for processing a substrate. The method comprises performing a pretreatment of a surface of a multi-region substrate comprising regions of two or more of a polycrystalline silicon-containing semiconductor, a silicon-containing nitride, or a silicon-containing oxide. The surface comprises a native silicon oxide layer on each region. The pretreatment comprises a solvent-based vapor etch process wherein at least hydrogen fluoride, a hydroxyl containing solvent, and an organic base are flowed over the surface. The method further comprises performing selective deposition of a first molecular species onto the pretreated surface, such that the first molecular species adsorbs to one or more regions, and does not adsorb to one or more other regions.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching
C23C 16/04 - Coating on selected surface areas, e.g. using masks
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
According to some embodiments, a coil for generating a radio frequency (RF) field in a semiconductor apparatus is provided. The coil may comprise a tubing section formed into two turns, the two turns configured to encircle a plasma container of the semiconductor fabrication apparatus, wherein a second end of the tubing section is bent toward a first end of the tubing section, and wherein the first end of the tubing section and the second end of the tubing section are bent at an angle from the two turns. The coil may comprise a first terminal attached to the first end of the tubing section, wherein the first terminal is configured to be operatively coupled to an RF source. The coil may comprise a second terminal attached to the second end of the tubing section, wherein the second terminal is configured to be operatively coupled to a ground.
Photon-activated species such as reactive radicals are generated using a tunable light source in a semiconductor processing apparatus. Reactant gases in a ground state are flowed into an optical cavity of the semiconductor processing apparatus. The reactant gases are exposed to radiation from the tunable light source to dissociate the reactant gases, form reactive radicals, and/or energize reactive radicals from a ground state to excited state. The light source may be tuned to: (1) a specified intensity and/or (2) specified wavelength or range of wavelengths to control the energies or energy distribution of the photon-activated species. The photon-activated species generated by the tunable light source may be applied to etch materials on a semiconductor substrate, treat a surface of the semiconductor substrate, clean the surface of the semiconductor substrate, deposit one or more materials on the semiconductor substrate, or clean internal surfaces of a processing chamber.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/448 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/306 - Chemical or electrical treatment, e.g. electrolytic etching
Dry development of resists can be useful, for example, to form a patterning mask in the context of high-resolution patterning. Dry development may be advantageously accomplished by a method of processing a semiconductor substrate including providing in a process chamber a photopatterned resist on a substrate layer on a semiconductor substrate, and dry developing the photopatterned resist by removing either an exposed portion or an unexposed portion of the resist by a dry development process comprising exposure to a chemical compound to form a resist mask. The resist may be an EUV-sensitive organo-metal oxide or organo-metal-containing thin film EUV resist.
In some examples, a multi-station process tool comprises a plurality of process chambers, each process chamber located at a station of the multi-station process tool; and a RF power path component associated with each station of the multi-station process tool, the RF power path component geometrically positioned and oriented such that, when energized, a symmetric RF power path is created with respect to a center of the multi-station process tool.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
A multiport valve assembly for a substrate processing system includes a manifold block and a plurality of valves mounted to the manifold block. The manifold block includes N first inputs connected to N sources of N vaporized precursors, where N is an integer greater than 1; an outlet connectable to a showerhead of a processing chamber; and a plurality of manifolds enclosed in the manifold block. The valves are coupled to the N first inputs by the manifolds in the manifold block. The valves are configured to supply the N vaporized precursors through respective supply manifolds in the manifold block. The respective supply manifolds are connected to an output manifold in the manifold block. The output manifold includes the outlet to supply one or more of the N vaporized precursors to the showerhead.
C23C 16/448 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
F16K 11/065 - Multiple-way valves, e.g. mixing valvesPipe fittings incorporating such valvesArrangement of valves and flow lines specially adapted for mixing fluid with all movable sealing faces moving as one unit comprising only sliding valves with linearly sliding closure members
43.
CHEMICAL SENSOR FOR AUTOMATIC FLOW COMPENSATION OF MASS FLOW CONTROLLER
Examples are disclosed that relate to automatic flow compensation for a reactant gas based on a detected concentration of a second gas flowing with the reactant gas. One example provides a substrate processing tool comprising a processing chamber. The substrate processing tool further comprises a conduit for flowing a mixture of a reactant gas with a second gas to the processing chamber. The substrate processing tool further comprises a chemical sensor configured to detect a concentration of the second gas in the conduit. The substrate processing tool further comprises a mass flow controller located along the conduit and downstream from the chemical sensor. The substrate processing tool further comprises a systems controller configured to receive a signal from the chemical sensor indicating a concentration of the second gas in the conduit and adjust the mass flow controller based on the signal and a set point for the reactant gas.
C23C 16/52 - Controlling or regulating the coating process
C23C 16/448 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
G01N 33/00 - Investigating or analysing materials by specific methods not covered by groups
A matchless plasma source is described. The matchless plasma source includes a controller that is coupled to a direct current (DC) voltage source of an agile DC rail to control a shape of an amplified square waveform that is generated at an output of a half-bridge transistor circuit. The matchless plasma source further includes the half-bridge transistor circuit used to generate the amplified square waveform to power an electrode, such as an antenna, of a plasma chamber. The matchless plasma source also includes a reactive circuit between the half-bridge transistor circuit and the electrode. The reactive circuit has a high-quality factor to negate a reactance of the electrode. There is no radio frequency (RF) match and an RF cable that couples the matchless plasma source to the electrode.
An electrostatic chuck (ESC) undercoating system includes a memory and a controller. The memory stores an undercoat application. The controller configured to execute the undercoat application to: determine undercoat parameters; perform a full clean process to remove undercoat deposits in processing chamber of substrate processing system; and based on the undercoat parameters, perform one or more deposition processes to deposit one or more undercoat layers on the ESC to provide an overall undercoat layer having an overall thickness between 7-15 μm, the one or more undercoat layers providing protection of the ESC during subsequent deposition processing of a substrate on the ESC.
C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
C23C 16/02 - Pretreatment of the material to be coated
C23C 16/30 - Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
C23C 16/509 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
C23C 16/52 - Controlling or regulating the coating process
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
An apparatus comprises a vacuum chamber with a processing zone, an RF generator, a sensor, and a controller. The vacuum chamber is configured to receive process gas for a plasma-based process of a substrate. The RF generator provides an RF signal between a first electrode and a second electrode of the vacuum chamber to generate plasma for the plasma-based process. The sensor is configured to sense at least one signal characteristic of the RF signal. The controller is configured to retrieve during the plasma-based process, a plurality of signals from the sensor. The plurality of signals is indicative of the at least one signal characteristic of the RF signal at a corresponding plurality of time instances. The controller determines an endpoint for the plasma-based process based on the plurality of signals from the sensor. The controller terminates the plasma-based process based on the endpoint.
A plasma processing apparatus integrates an inductively-coupled plasma (ICP) chamber and a capacitively-coupled plasma (CCP) chamber. The ICP chamber is in fluid communication with the CCP chamber via a showerhead that is positioned between the ICP chamber and CCP chamber. A substrate is supported on a pedestal in the CCP chamber. The ICP chamber generates remote plasma in the ICP chamber and the CCP chamber generates direct plasma in an environment adjacent to the substrate. In some implementations, the remote plasma generated by the ICP chamber may assist in deposition of a first layer on the substrate and the direct plasma generated by the CCP chamber may assist in etch or treatment of the first layer, or deposition of a second layer on the substrate without necessitating transfer of the substrate between modules or stations.
Examples are disclosed that relate to chemical-vapor-deposition-(CVD)-enhanced atomic layer deposition (ALD) processes. In one example, a method of operating a processing tool comprises performing a plurality of deposition cycles. Each deposition cycle comprises, in a first dose phase, introducing a film precursor and a reactant into a processing chamber of the processing tool in the absence of a plasma to adsorb the precursor on a substrate in the processing chamber. Each deposition cycle further comprises, in a second dose phase, ceasing introduction of the film precursor while continuing introduction of the reactant into the processing chamber, and forming a deposition plasma to form a film layer on the substrate. Each deposition cycle further comprises, in a densification phase, forming a densification plasma to densify the film layer.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
Methods and apparatuses for the utilization and/or recovery of a halogen-containing etchant in dry vapor phase development of metal oxide-based photoresists are provided. In particular, the methods specifically tailor a limited amount of etchant for dry development, supplied as a static amount. The etchant may be a hydrogen halide such as hydrogen bromide. Additionally, the methods and apparatuses facilitate recycling of etchants by techniques for capture, purification and re-use.
B01D 53/00 - Separation of gases or vapoursRecovering vapours of volatile solvents from gasesChemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases or aerosols
B01D 53/04 - Separation of gases or vapoursRecovering vapours of volatile solvents from gasesChemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases or aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
51.
SHOWERHEAD HAVING COMPONENTS REMOVABLY COUPLED TO ONE ANOTHER
A showerhead faceplate for semiconductor processing is provided. The faceplate may have a front surface having a first outer diameter, a back surface offset from the front surface, a body portion spanning between, and at least partially forming, the front surface and the back surface, a plurality of through-holes extending through the body portion from the front surface to the back surface, a perimeter wall adjacent to the back surface, circumscribing the plurality of through-holes, and comprising a plurality of first straight wall segments, each first straight wall segment may meet an adjacent first straight wall segment at a corner, and each corner may alternate between an interior corner and an exterior corner.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
A composition mixture control system for an equipment front end module includes a manifold, flow controllers and a composition controller. The flow controllers are configured to control flow of respective gases to the manifold, where the manifold is configured to mix the gases received from the flow controllers and direct a resultant gas mixture to an enclosure in the equipment front end module. The composition controller is configured to control operation of the flow controllers to adjust a composition in the enclosure to a set target composition including the gases.
G05D 11/13 - Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
A method for performing a plasma etch process is provided. The method initiates with receiving a substrate into a chamber. A high frequency (HF) RF signal is generated, said HF RF signal being pulsed in at least a three-state cycle including a first state, a second state, and a third state. The first state is configured at a first power level; the second state is configured at a second power level less than the first power level; and, the third state is configured at a third power level less than the second power level. The second power level of the HF RF signal being in the range of about 0 W to 3500 W. The HF RF signal is applied to an electrode of the chamber for performing the plasma etch process.
Described herein is a pedestal assembly comprising a platen and a sensor support plate below the platen. In at least one implementation, sensor support plate comprises a sensor compartment and a waveguide temperature sensor within the sensor compartment. In at least one implementation, waveguide temperature sensor comprises a temperature sensor comprising a first reflector structure and a second reflector structure. In at least one implementation, first reflector structure and second reflector structure are separated by a gauge length.
G01K 11/32 - Measuring temperature based on physical or chemical changes not covered by group , , , or using changes in transmittance, scattering or luminescence in optical fibres
A method for selectively depositing graphene on cobalt caps on copper interconnects for dual damascene structures in a back-end-of-line substrate is provided. The method comprises providing a semiconductor substrate comprising a first dielectric layer, the copper interconnect in the first dielectric layer, and the cobalt cap on the copper interconnect, the cobalt cap having an exposed metal surface, wherein the exposed metal surface comprises cobalt, and selectively depositing carbon layer on the exposed metal surface.
C23C 16/452 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before introduction into the reaction chamber, e.g. by ionization or by addition of reactive species
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 23/532 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
Photoresist rework of metal-containing photoresist is disclosed. Rework can be accomplished using a thermal process by exposing a substrate to an elevated temperature and an etch gas. Rework can be also accomplished using a wet process by exposing the substrate to an inorganic acidic solution. Residue or other contaminants may be cleaned up from the substrate after rework by exposure to high temperatures, plasma, or wet clean.
Semiconductor processing apparatuses and techniques are provided. Some apparatuses may have a process chamber having a first portion and a second portion, the first portion having a first fluidic disconnect with a first port and a gas distributor configured to flow fluid into a process volume, and the second portion having a second fluidic disconnect with a second port, a fluid delivery system having a plurality of gas source manifolds, a first delivery portion in the first portion and having a first delivery conduit fluidically coupled to the first port, and a second delivery portion in the second portion and having a second delivery conduit fluidically coupled to the second port, and a controller having one or more processors and one or more memories that store instructions for controlling the process chamber and the fluid delivery system.
Methods, apparatuses, and computer program products are provided for simulating backside layer deposition. Deposition of a backside layer stack may mitigate wafer bow that varies in orthogonal directions, the deposition comprising receiving parameter values for a plurality of parameters of a semiconductor device fabrication process to deposit a non-uniform profile film on a substrate, wherein the semiconductor device fabrication process is performed by a process chamber having a showerhead with a plurality of zones; performing a simulation of the semiconductor device fabrication process based on the parameter values; and determining a deposition profile of the non-uniform profile film based on the simulation.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
A method of transferring a pattern from a patterned mask to an etch layer is provided, wherein the patterned mask defines wider mask features and narrower mask features, where the wider mask features are wider than the narrower mask features. Features are etched into the etch layer through the patterned mask to form narrower features and wider features, wherein the wider features are wider than the narrower features. A deposition is deposited over the narrower features and wider features, wherein the deposition closes the narrower features and does not close the wider features. The wider features are over etched, wherein the over etching does not etch the narrower features.
H01L 21/308 - Chemical or electrical treatment, e.g. electrolytic etching using masks
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
Methods and apparatuses for deposited molybdenum for metallization applications using pulsed chemical vapor deposition are described herein. The method for processing substrates comprises providing a substrate having a negative feature in a low-k dielectric and depositing molybdenum into the negative feature to at least partially fill the negative feature with molybdenum over a copper layer.
H01L 21/285 - Deposition of conductive or insulating materials for electrodes from a gas or vapour, e.g. condensation
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
C23C 16/06 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 23/532 - Arrangements for conducting electric current within the device in operation from one component to another including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
61.
LONG-LIFE EXTENDED TEMPERATURE RANGE EMBEDDED DIODE DESIGN FOR ELECTROSTATIC CHUCK WITH MULTIPLEXED HEATERS ARRAY
A substrate support for a plasma chamber includes a base plate arranged along a plane, a first layer of an electrically insulating material arranged on the base plate along the plane, a plurality of heating elements arranged in the first layer along the plane, and a plurality of diodes arranged in respective cavities in the first layer. The plurality of diodes are connected in series to the plurality of heating elements, respectively. Each of the plurality of diodes includes a die of a semiconductor material arranged in a respective one of the cavities. The semiconductor material has a first coefficient of thermal expansion. A first side of the die is arranged on the first layer along the plane. A first terminal of the die is connected to a first electrical contact on the first layer.
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
Various embodiments include a method for increasing a deposition rate of, for example, an atomic-layer deposition (ALD)-produced film onto a surface of a substrate. In one exemplary embodiment, the method includes placing the substrate in a deposition chamber, introducing a precursor gas into the deposition chamber, evacuating at least a portion of remaining precursor-gas molecules from the deposition chamber, applying a radio-frequency (RF) conversion to the substrate in the deposition chamber, performing a plasma-species RF purge, and introducing a hydrogen (Fh) gas into the deposition chamber during one or more of the operations including introducing the precursor gas into the deposition chamber, evacuating at least the portion of remaining precursor-gas molecules from the deposition chamber, applying the RF conversion step to the substrate in the deposition chamber, and performing the plasma-species RF purge. Other methods are disclosed.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/52 - Controlling or regulating the coating process
Various embodiments herein relate to methods and apparatus for etching a feature in a substrate. Often, the feature is etched in the context of forming a DRAM or other memory device. The feature is etched in dielectric material, which often includes a silicon oxide. The feature is etched using chemistry that includes a metal-containing gas such as tungsten hexafluoride. Although other metal-containing gases are commonly used as deposition gases (e.g., to deposit metal-containing films), they can also be used during etching. Advantageously, the inclusion of a metal-containing gas in the etch chemistry can increase the selectivity of the etch and/or improve the feature-to-feature uniformity (e.g., improve LCDU).
A plasma processing system for tuning a voltage setpoint for a multi-state pulsed RF signal, including: a chamber having an electrostatic chuck (ESC) disposed therein; an edge electrode that surrounds the ESC and is disposed below an edge ring surrounding the ESC; a first generator that applies a RF power to the ESC, defining a first multi-state pulsed RF signal; a second generator that applies a RF power to the edge electrode, defining a second multi-state pulsed RF signal having a first state and a second state, wherein for each state of the second multi-state pulsed RF signal, the second generator automatically introduces a phase adjustment to substantially match phase with a corresponding state of the first multi-state pulsed RF signal; and, wherein a voltage setpoint for the second state of the second multi-state pulsed RF signal is adjusted to tune the phase adjustment to a target phase adjustment setting.
Tin oxide films are used as mandrels in semiconductor device manufacturing. In one implementation the process starts by patterning a tin oxide layer using at least one of a hydrogen-based etch chemistry and a chlorine-based etch chemistry, and using patterned photoresist as a mask, thereby providing a substrate having a plurality of protruding tin oxide features (mandrels). Next, a conformal layer of spacer material is formed both on the horizontal surfaces and on the sidewalls of the mandrels. The spacer material is then removed from the horizontal surfaces exposing the tin oxide material of the mandrels, without fully removing the spacer material residing at the sidewalls of the mandrels. Next, mandrels are selectively removed (e.g., using hydrogen-based etch chemistry), while leaving the spacer material that resided at the sidewalls of the mandrels. The resulting spacers can be used for patterning underlying layers on the substrate.
H01L 21/3213 - Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
C04B 41/53 - After-treatment of mortars, concrete, artificial stone or ceramicsTreatment of natural stone involving the removal of part of the materials of the treated article
C04B 41/91 - After-treatment of mortars, concrete, artificial stone or ceramicsTreatment of natural stone of only ceramics involving the removal of part of the materials of the treated articles, e.g. etching
C23C 16/02 - Pretreatment of the material to be coated
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/033 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or comprising inorganic layers
H01L 21/465 - Chemical or electrical treatment, e.g. electrolytic etching
H01L 21/467 - Chemical or electrical treatment, e.g. electrolytic etching using masks
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
66.
HEAT AND VOLATILE-ORGANIC-COMPOUNDS DETECTING SYSTEMS
Various embodiments include heat and volatile-organic-compounds detecting systems. In one example, the heat-detecting system includes at least one heat sensor mounted externally to a device, such as a local power-box (LPB). The heat sensor has an area-of-detection to detect heat emitted from at least one face of the LPB at one or more locations. The heat-detecting system also includes a high-absorptance infrared-collector (HAIC) formed within the LPB to collect excessive heat generated by a component within the LPB. The excessive heat is correlated to a pre-determined temperature level, and a temperature of the collected excessive heat is measured by the heat sensor. Each of the heat sensor and the HAIC are coupled to a control module. Other apparatuses, designs, and methods are disclosed.
Provided are apparatus and methods for selective substrate processing based on electrode regions. In some embodiments, selectively processing a semiconductor substrate may include applying a first amount of power to at least a first region of an electrode, causing at least a first corresponding region of the semiconductor substrate to undergo a first deposition process based on at least one process gas; and applying a second amount of power different from the first amount of power to at least a second region of the electrode, causing at least a second corresponding region the semiconductor substrate to undergo a second deposition process based on the at least one process gas; wherein, based on the application of the first amount of power to the first region and the second amount of power to the second region, a material comprises a spatially varying property on the backside of the semiconductor substrate.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/04 - Coating on selected surface areas, e.g. using masks
C23C 16/52 - Controlling or regulating the coating process
68.
IN-SITU HIGH ASPECT RATIO ETCH WITH A RE-DEPOSITED HELMET MASK
A method for etching features in a stack below a patterned mask is provided. The stack is partially etched through the patterned mask. A helmet mask is deposited over the patterned mask by providing at least one cycle of pretreating surfaces of the patterned mask and after pretreating surfaces of the patterned mask, selectively depositing a metal containing helmet layer on surfaces of the patterned mask. The stack is etched through the helmet mask.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
Examples are disclosed that relate to selective etching of materials with similar chemical compositions. One example provides a method of selectively etching a feature in a substrate. The substrate comprises a first silicon-containing material and a second silicon-containing with different concentrations of volatilizable terminal functional groups. The method comprises exposing the substrate to energy sufficient to dissociate at least some terminal functional groups, and exposing the substrate to a halogen-containing etching agent to form volatile silicon halide molecules to remove silicon from the substrate and thereby etch the substrate. The first silicon-containing material is etched at a higher rate than the second silicon-containing material.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
Early warning systems and methods for determining capacitor failures are described. One of the methods includes controlling a motor to further control a variable capacitor that is coupled to the motor to facilitate achieving a hard stop position or a home position of the variable capacitor for multiple times. Each time the motor is controlled to facilitate achieving the home position or the hard stop position, a number of steps that are taken by the motor are recorded. The numbers of steps are compared with each other to determine whether the variable capacitor has failed.
COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES (France)
LAM RESEARCH CORPORATION (USA)
Inventor
Posseme, Nicolas
Ruel, Simon
Pimenta Barros, Patricia
Helmer, Bryan
Thoueille, Philippe
Abstract
A method for etching at least a portion of a layer based on a III-N material includes exposing a least one portion of an upper face of the III-N layer to a plasma treatment with bias voltage pulsing based on chlorine, wherein the plasma treatment is configured to present a duty cycle comprised between 20% and 80%. A first non-zero polarization bias is applied to the substrate during Ton, and a second polarization bias lesser than the first non-zero polarization bias or no polarization bias is applied, during Toff, so as to etch the portion of the III-N layer. The duration of the etching is significantly reduced to obtain a satisfying quality of the III-N layer for the operation of a microelectronic device, such as a transistor or a diode.
A barrier seal ring for use in a plasma chamber includes an outer seal leg extending vertically down from a top surface to a bottom surface along an outer diameter and an inner seal leg extending down from the top surface to an inner diameter. An upper leg portion of the inner seal leg extends at an angle relative to the outer seal leg and a lower leg portion extends down from a bottom of the upper leg portion and an interface is defined between the upper leg portion and the lower leg portion. The interface allows the inner seal leg to fold inward toward the inside surface of the outer seal leg during installation within a groove of a base ring disposed in a lower electrode of the plasma chamber.
A method for controlling a substrate support temperature includes setting a temperature of the substrate support in one of Z zones in response to a temperature sensor embedded in the one of the Z zones; setting the temperature of the substrate support in Z-1 zones of the Z zones using Z-1 power ratios, respectively; measuring Z resistances of Z resistive heaters embedded in the Z zones at first and second temperatures; setting the temperature of the substrate support to a third temperature in response to the temperature sensor and the Z-1 power ratios; determining Z-1 first target resistances for the Z-1 zones, respectively, at the third temperature; measuring the Z resistances of the Z resistive heaters at the third temperature, respectively; and comparing Z-1 resistances of Z-1 resistive heaters for the Z-1 zones and the Z-1 first target resistances at the third temperature, respectively, and adjusting the Z-1 power ratios.
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
A plasma processing system for processing substrates includes a substrate support arranged in a processing chamber and including a first electrode. A second electrode is arranged above the substrate support and includes S segments that are arranged concentrically and include gaps located therebetween, where S is an integer greater than one. A segment control circuit includes M impedances connected to M of the S segments of the second electrode, where M is an integer greater than zero and less than or equal to S. The M impedances are selected from a group consisting of at least one of a resistor, an inductor, a capacitor, and combinations thereof. A plasma generator is configured to supply RF voltage to the first electrode to generate and maintain plasma in the processing chamber.
A direct drive system for providing RF power to a substrate processing system includes a direct drive enclosure including a first direct drive circuit located in the direct drive enclosure and operating at a first frequency and a first connector connected to the first direct drive circuit. A junction box is arranged adjacent to the direct drive enclosure and includes a first capacitive circuit connected to the first direct drive circuit; a second connector located on one side of the junction box, connected to one terminal of the first capacitive circuit and mating with the first connector of the direct drive enclosure; third and fourth connectors connected to another terminal of the first capacitive circuit; and a coil enclosure arranged adjacent to the junction box and including first and second coils and fifth and sixth connectors mating with the third and fourth connectors of the junction box.
Described herein is a process cooling system comprising a heat exchanger comprising a first inlet, a first outlet, a second inlet and a second outlet. The process cooling system also comprises a process cooling water supply manifold coupled to the first inlet of the heat exchanger. A proportional valve is coupled to the first outlet of the heat exchanger. A conduit is in thermal contact with a wall of a process chamber. A first end of the conduit is coupled to the proportional valve and a second end of the conduit is coupled to the second inlet of the heat exchanger. A process cooling water return manifold is coupled to the second outlet of the heat exchanger.
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
77.
ROTATING ELEMENT AND SUBSTRATE HOLDER FOR ELECTROPLATING
Methods and apparatus for electroplating metal onto a substrate are provided herein. For instance, such an electrodeposition apparatus may include: (a) a plating chamber configured to contain an electrolyte and an anode while electroplating metal onto a substrate; (b) a substrate holder configured to hold the substrate and maintain separation between a plating face of the substrate and the anode during electroplating; and (c) an ionically-resistive ionically-permeable element including a substrate-facing surface and an opposing surface, where the ionically-resistive ionically-permeable element allows for flow of ionic current through the ionically-resistive ionically-permeable element towards the substrate during electroplating, and where the ionically-resistive ionically-permeable element and the substrate holder are configured to mate with one another and rotate together relative to the plating chamber.
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
78.
FILTERING A DRAIN PATH IN AN ELECTRODEPOSITION TOOL
Examples are disclosed that relate to the use of screens in electrodeposition tools to protect drain components from debris. One example provides an electrodeposition tool. The electrodeposition tool comprises a filter bowl. The filter bowl comprises an inlet and an outlet. The filter bowl further comprises a filter interface configured to position a filter along a fluidic path between the inlet and the outlet. The filter bowl further comprises a drain opening positioned to drain an inlet side of the filter bowl when the filter is positioned in the filter interface. The electrodeposition tool further comprises a drain path leading from the drain opening, the drain path comprising a drain component. The electrodeposition tool further comprises a screen for filtering debris from the electroplating solution, wherein the screen is disposed within a fluidic path between the inlet and the drain component.
An electrodeposition tool comprises an anode chamber and a cathode chamber. A high-resistance virtual anode (HRVA) is positioned within the cathode chamber. An ion-exchange membrane is positioned between the anode chamber and the cathode chamber. The ion-exchange membrane is supported by a membrane frame. The electrodeposition tool further comprises an aspiration flow path configured to drain a volume between the HRVA and the ion-exchange membrane. The aspiration flow path is defined by the ion-exchange membrane and a groove in the membrane frame.
Examples are disclosed that relate to chemical vapor deposition (CVD) with a plasma-based modification step. One example provides a method of performing CVD. The method comprises performing a plurality of CVD cycles to form a silicon-containing film on a substrate. Each CVD cycle of the plurality of CVD cycles comprises performing a CVD step by exposing the substrate to a flow of a silicon-containing precursor under conditions configured to convert the silicon-containing precursor into the silicon-containing film on the substrate. Each CVD cycle further comprises, after performing the CVD step, performing a modification step by using a plasma to impinge ions on the silicon-containing film to at least modify a profile of the silicon-containing film or modify a composition of the silicon-containing film.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/52 - Controlling or regulating the coating process
An electroplating system or apparatus is configured to neutralize electroplating cells and/or other hardware components in a plating cell loop so that maintenance can be performed with minimal exposure to acidic and hazardous chemicals. Neutralization solution such as deionized water is delivered to a plating cell loop. Selected electroplating cells are isolated for neutralization so that the neutralization solution bypasses an electrolyte reservoir. The selected electroplating cells are aspirated to remove residual chemistry and subsequently filled with the neutralization solution. This process of aspiration and filling is repeated until a pH of a solution from the selected electroplating cells reaches a threshold safety value.
Systems and methods of the disclosure perform in situ sensing and real time compensation of various non-uniformities in substrate processing systems. A plasma non-uniformity is sensed by determining a temperature distribution across a matrix of a plurality of micro-heaters disposed in the substrate support. Alternatively, the plasma non-uniformity is sensed by determining heat flux through the substrate support using the matrix heaters and one or more heaters used to heat one or more zones of the substrate support. The plasma non-uniformity is compensated by adjusting one or more parameters such as power supplied to the matrix heaters, RF power supplied to generate plasma, chemistry and/or flow rate of gas or gases used to generate plasma, settings of thermal control units or chillers, and so on. Additionally, non-uniformities inherent in the substrate support are sensed using the zone and matrix heaters and are compensated by adjusting the one or more parameters.
C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
C23C 16/48 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
C23C 16/02 - Pretreatment of the material to be coated
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
84.
COMBINER AND DISTRIBUTOR FOR ADJUSTING IMPEDANCES OR POWER ACROSS MULTIPLE PLASMA PROCESSING STATIONS
Systems and methods for adjusting impedances or power or a combination thereof across multiple plasma processing stations are described. One of the systems includes a first radio frequency (RF) generator that generates a first RF signal having a first frequency, a second RF generator that generates a second RF signal having a second frequency, and a first matching network coupled to the first RF generator to receive the first RF signal. The first impedance matching network outputs a first modified RF signal upon receiving the first RF signal. The system further includes a second matching network coupled to the second RF generator to receive the second RF signal. The second matching network outputs a second modified RF signal upon receiving the second RF signal. The system further includes a combiner and distributor coupled to an output of the first matching network and an output of the second matching network.
H03H 7/40 - Automatic matching of load impedance to source impedance
C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
C23C 16/505 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
C23C 16/52 - Controlling or regulating the coating process
Methods and apparatuses for depositing silicon nitride in various applications are provided. Embodiments include depositing silicon nitride directly on silicon or silicon oxide surfaces using modulated dose to conversion time ratios in thermal atomic layer deposition. Embodiments include exposing a silicon oxide surface to a nitrogen-containing plasma treatment prior to depositing any silicon nitride and depositing silicon nitride by thermal atomic layer deposition.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
86.
DEPOSITION OF METALS IN RECESSED FEATURES WITH THE USE OF HALOGEN-CONTAINING DEPOSITION INHIBITORS
Metal films, such as molybdenum films are deposited on a semiconductor substrate having one or more recessed features in a deposition process modulated by addition of a halogen-containing compound (e.g., an alkyl halide). In some implementations, a pre-treatment of a substrate with a halogen-containing compound is performed prior to contacting the substrate with a metal-containing precursor and a reducing agent. In some embodiments, the pre-treatment is performed such that the halogen-containing compound modifies the surface of the substrate to a greater degree in a field region of the substrate and near the opening of the recessed feature, as compared to the bottom portion of the recessed feature, where the modification of the substrate inhibits deposition of the metal. As a result, deposition of metals with improved step coverage can be achieved. In some implementations, modulation of deposition by halogen-containing compounds is used to achieve bottom-up metal growth in recessed features.
H01L 21/768 - Applying interconnections to be used for carrying current between separate components within a device
C23C 16/08 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
C23C 16/52 - Controlling or regulating the coating process
H01L 21/3205 - Deposition of non-insulating-, e.g. conductive- or resistive-, layers, on insulating layersAfter-treatment of these layers
87.
ENHANCED EUV UNDERLAYER EFFECT WITH DIFFUSION BARRIER LAYER
This disclosure relates generally to a patterning structure (and methods and apparatus for forming such structures) including substrate having a partially fabricated semiconductor device film stack, a radiation-sensitive imaging layer over the substrate, an underlayer below the radiation-sensitive imaging layer, the underlayer including a labile species, a hardmask positioned below the underlayer, and a diffusion barrier layer positioned between the underlayer and the hardmask layer, the diffusion barrier layer including a diffusion barrier material that reduces diffusion of the labile species from the underlayer into the hardmask layer. In various embodiments, the reduction of diffusion of the labile species downwards from the underlayer into the hardmask results in relatively greater diffusion of the labile species upwards from the underlayer into the radiation-sensitive imaging layer. This increased diffusion into the radiation-sensitive imaging layer may advantageously increase radiation absorptivity and/or patterning performance of the radiation-sensitive imaging layer.
Apparatus including first gas passage fluidically connected to first gas inlet(s) and extending in second direction, second gas passage extending in second direction, spaced apart from first gas passage and fluidically connected to second gas inlet(s), first gas distribution ports fluidically connected to first gas passage, and second gas distribution ports fluidically connected to second gas passage where at least one first gas inlet is fluidically separate from second gas inlet(s) and at least some of first gas distribution ports and at least some of second gas distribution ports are arranged in alternating manner with respect to second direction.
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
89.
SEAM-FREE GAPFILL BY INHIBITED ATOMIC LAYER DEPOSITION
Examples are disclosed that relate to the use of inhibited plasma-enhanced atomic layer deposition (PEALD) comprising sputtering to fill a gap in a substrate. One example provides a method comprising performing a plurality of PEALD cycles. A PEALD cycle comprises, in an inhibition step, forming a plasma using a gas mixture comprising an inhibitor to deposit inhibitor into a gap on a substrate such that a concentration of the inhibitor deposited at a first depth is greater than a concentration of the inhibitor deposited deeper within the gap. The PEALD cycle further comprises, in a deposition step, adsorbing a silicon-containing film precursor to the substrate, and forming a plasma to convert the silicon-containing film precursor into a silicon-containing film within the gap. At least one of the inhibition step or the deposition step comprises exposing the substrate to a plasma under conditions configured to sputter the silicon-containing film.
C23C 16/04 - Coating on selected surface areas, e.g. using masks
C23C 16/455 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into the reaction chamber or for modifying gas flows in the reaction chamber
A method for removing residues in a plasma processing chamber is provided. A cleaning gas comprising hydrogen fluoride (HF) is flowed into the plasma processing chamber. A plasma is generated from the cleaning gas, wherein the plasma removes the residues from the plasma processing chamber. The flow of the cleaning gas is stopped.
An electrostatic chuck for a substrate includes a baseplate including a first surface and a cavity arranged on the first surface. A top plate includes a first plug. A first spring member is arranged in the cavity. A second plug is arranged between the top plate and the first spring member. A bonding material attaches the top plate to the first surface of the baseplate.
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
An electrostatic chuck is provided. A baseplate comprises an electrically conductive body. A plurality of gas passages are in the baseplate. A plurality of porous ceramic plugs is provided wherein each porous ceramic plug extends from a first surface of the baseplate to a first end of a gas passage, wherein each of the plurality of porous ceramic plugs has a first end adjacent to the first surface and a second end displaced from the first surface. A plurality of ceramic plug coatings are on sides of the plurality of porous ceramic plugs, wherein the ceramic plug coating has a porosity that is less than the porosity of the porous ceramic plug, wherein the combination of the porous ceramic plug and the ceramic plug coating form a flange. A ceramic coating is on at least the first surface of the baseplate.
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/67 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components
In some embodiments, a component for use in a semiconductor processing chamber system that in some embodiments may use a plasma and where the component has a process facing surface is provided, where the component comprises a component body, wherein the process facing surface of the component comprises lanthanum yttrium oxide.
C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
Apparatuses and systems for pedestals are provided. An example pedestal may have a body with an upper annular seal surface that is planar, perpendicular to a vertical center axis of the body, and has a radial thickness, a lower recess surface offset from the upper annular seal surface, and a plurality of micro-contact areas (MCAs) protruding from the lower recess surface, each MCA having a top surface offset from the lower recess surface by a second distance less, and one or more electrodes within the body. The upper annular seal surface may be configured to support an outer edge of a semiconductor substrate when the semiconductor substrate is being supported by the pedestal, and the upper annular seal surface and the tops of the MCAs may be configured to support the semiconductor substrate when the semiconductor substrate is being supported by the pedestal.
H01L 21/683 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping
C23C 16/458 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
An impedance match housing is described. The impedance match housing includes an impedance matching circuit having an input that is coupled to a radio frequency (RF) generator. The impedance matching circuit has an output that is coupled to a first RF strap. The impedance match housing includes a uniformity control circuit coupled in parallel to a portion of the first RF strap to modify uniformity in a processing rate of a substrate when the substrate is processed within a plasma chamber.
Semiconductor processing tools with hexapod-based pedestal systems are disclosed and described. Such hexapod pedestal systems may incorporate a hexapod mechanism with a stationary mount that is connected via six linear actuators with a movable mount. The movable mount may support a pedestal located within a semiconductor processing chamber. The hexapod mechanism may be controlled so as to allow the pedestal to shift laterally so as to center the pedestal on a wafer supported by a wafer handling robot, as well as to angularly align a wafer supported thereby with the underside of a showerhead and to allow a wafer supported thereby to be subjected to any of a variety of movements during wafer processing operations that may promote increased wafer uniformity.
H01L 21/687 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
H01L 21/68 - Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereofApparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components for positioning, orientation or alignment
97.
DEVELOPMENT STRATEGY FOR HIGH-ABSORBING METAL-CONTAINING PHOTORESISTS
The present disclosure relates to use of an acid for developing or treating a radiation-sensitive film including two or more elements having a high patterning radiation-absorption cross-section. The acid can be employed to form a pattern by a negative tone development process or to treat a developed pattern by further removing residual resist components.
G03F 7/36 - Imagewise removal not covered by groups , e.g. using gas streams, using plasma
G03F 7/00 - Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printed surfacesMaterials therefor, e.g. comprising photoresistsApparatus specially adapted therefor
G03F 7/32 - Liquid compositions therefor, e.g. developers
G03F 7/40 - Treatment after imagewise removal, e.g. baking
H01L 21/027 - Making masks on semiconductor bodies for further photolithographic processing, not provided for in group or
A gas delivery apparatus includes an inlet portion and an outlet portion. The inlet portion can comprise a plurality of inlet ports configured to receive gas from a gas source. The inlet portion can also comprise a corresponding plurality of tapered surfaces associated with the plurality of inlet ports. Each tapered surface of the plurality of tapered surfaces surrounds a corresponding inlet port of the plurality of inlet ports. The outlet portion can be configured to deliver the gas to a gas showerhead of a process chamber. Each tapered surface of the plurality of tapered surfaces can comprise a first region and a second region. The first region is associated with a first curvature. The second region is associated with a second curvature. The first curvature can be different from the second curvature.
C23C 16/44 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
Methods of filling a gap with a dielectric material including using an inhibitor plasma during deposition. When the inhibitor plasma interacts with material in the feature, the material at the bottom of the feature receives less plasma treatment than material located closer to a top portion of the feature or in field. Deposition at the top of the feature is then selectively inhibited and deposition in lower portions of the feature proceeds with less inhibition or without being inhibited. As a result, bottom-up fill is enhanced, which can create a sloped profile that mitigates the seam effect and prevents void formation. In some embodiments, the inhibitor plasma is used at a higher pressure to increase the rate of inhibition, improving throughput.
H01L 21/02 - Manufacture or treatment of semiconductor devices or of parts thereof
C23C 16/04 - Coating on selected surface areas, e.g. using masks
C23C 16/50 - Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
Process condition management facilitates the combination of dry development and post-development treatment into a single process chamber, eliminating the necessity for a post-dry development bake step in a separate chamber during semiconductor manufacturing. Thermal dry development and plasma dry development may be performed in the same chamber. Thermal dry development, plasma dry development and passivation such as an O2 flash treatment; or thermal dry development, plasma dry development, passivation and hardening operations are enabled without wafer transfer.